導航:首頁 > 文檔加密 > vhdl實用教程pdf

vhdl實用教程pdf

發布時間:2022-06-19 19:55:04

Ⅰ 學習VHDL有哪些比較好的書籍

1、VHDL硬體描述語言與數字邏輯電路設計(修訂版), 西電出版社, 候伯亨。

2、VHDL實用教程,電子科大出版社, 潘松。

3、VHDL與數字電路設計, 科學出版社, 盧毅

4、VHDL入門/解惑/經典實例/經驗總結, 北航出版社, 黃任。

Ⅱ 跪求如何做 基於VHDL語言的循環碼編解碼器的設計怎麼弄····

要學vhdl的話,還是先看vhdl的教程吧,教材隨便找一本,我這有VHDl實用教程(潘松_王國棟_編著)的電子書。我可以發給你郵箱。現在手頭沒有,明天可以發。。。。,

軟體肯定是模擬用modelsim了,現在版本6.7了吧,在官網就能下載到,我這只有6.3和6.5的crack。網上找一下教程還是很多的。需要的話可以給我回郵件。
網址:http://model.com/content/modelsim-se-high-performance-simulation-and-debug
安裝後需要破解,修改環境變數等等,按照crack的read me做就好。
使用教程也比較多其實總共也沒幾個步驟:
1 新建一個目錄,在該目錄下添加源代碼。
2 打開modelsim file--》change directory ,改到新建的文件夾
3 建工作庫:vlib work
4 編譯 vcom
5 模擬 vsim
6 添加波形 addwave
7 運行 run 2000 ns
就這幾步 很簡單

Ⅲ EDA技術與VHDL的介紹

《EDA技術與VHDL》主要內容有Altera公司可編程器件及器件的選用、QuartusⅡ開發工具的使用;VHDL硬體描述語言及豐富的數字電路和電子數字系統EDA設計實例。《EDA技術與VHDL》在取材和編排上,循序漸進,並注重理論聯系實際。全書共分為9章:前3章闡述了Altera公司可編程器件CPLD和FPGA晶元的結構及特點,以及EDA技術設計與開發過程;第4、5章詳盡介紹了VHDL的基本語言和實用技術,以及QuartusⅡ開發工具的使用;第6、7章列舉大量設計實例來介紹典型數字系統的設計方法,幫助讀者很好地掌握EDA的開發設計方法;第8章通過介紹GW48-PK2/CK實驗與開發系統的使用方法,使讀者了解VHDL邏輯設計所必需的硬體模擬和實驗驗證的方法與過程;第9章列出的實驗程序均在QuartusⅡ開發環境下通過了模擬,並在GW48-PK2/CK實驗與開發系統上通過了硬體測試,所選實例對大部分實驗現象和結果進行了詳細分析。《EDA技術與VHDL》實用性、應用性強,適合作為高職高專類院校的專業教材,也可供有關專業人員參考。

Ⅳ EDA VHDL

FPGA應用開發入門與典型實例 華清遠見嵌入式培訓中心編著;
VHDL與可編程邏輯器件應用 孫延鵬, 張芝賢, 尹常永編著 ;
數字電路EDA入門:VHDL程序實例集 張亦華,延明編著 ;
VHDL程序設計教程 邢建平,曾繁泰著

Ⅳ VHDL語言的教材哪個版本介紹的比較詳細

《VHDL數字電路設計教程》巴西人 Volnei A Pedroni寫的,喬廬峰、王志功 翻譯的,絕對是一本經典教材

Ⅵ VHDL與硬體設計基礎

我發現一本書特別適合你的,就是清華大學出版社出版的一本《數字電路與邏輯設計》,你去書店找一下,好像書皮是深藍色的。那本書前半部分是講數字電路的,後半部分是講VHDL語言的。我個人認為是最適合你的了,如果我是你的話,我會用那本書的。

Ⅶ 求VHDL及Quartus II的入門教材

你什麼時候畢業呀,這才開始學VHDL呢?VHDL不是一門很容易學會的語言,兩三個月學會你就是天才了!要找資料網上很多的講的都大同小異,推薦你一本《VHDL實用教程[完整版]_潘松》,網路文庫里就能搜得到。

Ⅷ 推薦一本適合自學的EDA教材

全名:VHDL實用教程
作 者: 潘松
裝 楨:
精裝
開 本:
787*1092 1/16
出版社:
電子科技大學出版社
出版日期: 2000-03-01
ISBN: 781065290
蔚藍編號: 20704

-------------
圖書簡介: 本書比較系統地介紹了VHDL的基本語言現象和實用技術。全書以實用和可操作為基點,介紹了VHDL基於EDA技術的理論與實踐方面的知識。包括VHDL語句語法基礎知識(第1章~第8章)、VHDL綜合和可綜合的VHDL程序設計技術(第9章)、VHDL基本設計和實用設計(第10、11章)、多種常用的支持VHDL的EDA軟體使用(第12章)、VHDL數字系統設計實踐(第13章)和實用系統的VHDL綜合應用(第14章)。全書列舉了大量VHDL設計示例,其中大部分經第12章介紹的VHDL綜合器編譯通過;第13章的程序絕大部分都通過了附錄介紹的EDA實驗系統上的硬體測試,可直接使用。書中還附有大量程序設計和實驗、實踐方面的習題。 本書可作為高等院校的電子工程、通信、工業自動化、計算機應用技術、電子對抗、儀器儀表、數字信號處理、圖像處理等學科領域和專業的高年級本科生或研究生的VHDL或EDA技術課程的教材及實驗指導,也可作為相關專業技術人員的自學參考書。

Ⅸ VHDL數字時鍾完整程序代碼(要求要有元件例化,並且有按鍵消抖),謝謝啦啦啦啦

圖11
程序如下:
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity xuan21 is
Port ( alarm,a,b: in std_logic;
y:out std_logic);
end xuan21 ;

architecture one of xuan21 is
begin
process(alarm,a,b)
begin
if alarm='0' then y<=a;else y<=b;
end if;
end process;
end one;
模擬波形如下圖12:

圖12
(2)三位二選一:
模塊圖如圖13。用以進行正常計時時間與鬧鈴時間顯示的選擇,alarm輸入為按鍵。當alarm按鍵未曾按下時二選一選擇器會選擇輸出顯示正常的計時結果,否則當alarm按鍵按下時選擇器將選擇輸出顯示鬧鈴時間顯示。

圖13
程序如下:
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity x213 is
Port ( alarm : in std_logic;
y:out std_logic_vector(3 downto 0);
a,b: in std_logic_vector(3 downto 0));

end x213;

architecture one of x213 is
begin
process(alarm,a,b)
begin
if alarm='0' then y<=a;else y<=b;
end if;
end process;
end one;
模擬結果如下圖14:

圖14
8、整點報時及鬧時:
模塊圖如圖15。在59分51秒、53秒、55秒、57秒給揚聲器賦以低音512Hz信號,在59分59秒給揚聲器賦以高音1024Hz信號,音響持續1秒鍾,在1024Hz音響結束時刻為整點。當系統時間與鬧鈴時間相同時給揚聲器賦以高音1024Hz信號。鬧時時間為一分鍾。

圖15
程序如下:

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity voice is
Port ( hou1,huo0,min1,min0,sec1,sec0,hh,hl,mh,ml: std_logic_vector(3 downto 0);
in_1000,in_500:in std_logic;
q : out std_logic);
end voice;

architecture one of voice is
begin
process(min1,min0,sec1,sec0)
begin
if min1="0101" and min0="1001" and sec1="0101" then
if sec0="0001" or sec0="0011" or sec0="0101" or sec0="0111"
then q<=in_500;
elsif sec1="0101" and sec0="1001" then q<=in_1000;
else q<='0';
end if;
else q<='0';
end if;
if min1=mh and min0=ml and hou1=hh and huo0=hl then
q<=in_1000;
end if;
end process;
end one;
模擬波形如下圖16

圖16
9、頂層原理圖:

三、感想

通過這次設計,既復習了以前所學的知識,也進一步加深了對EDA的了解,讓我對它有了更加濃厚的興趣。特別是當每一個子模塊編寫調試成功時,心裡特別的開心。但是在畫頂層原理圖時,遇到了不少問題,最大的問題就是根本沒有把各個模塊的VHD文件以及生成的器件都全部放在頂層文件的文件夾內,還有就是程序設計的時候考慮的不夠全面,沒有聯系著各個模式以及實驗板的情況來編寫程序,以至於多考慮編寫了解碼電路而浪費了很多時間。在波形模擬時,也遇到了一點困難,想要的結果不能在波形上得到正確的顯示
:在分頻模塊中,設定輸入的時鍾信號後,卻只有二分頻的結果,其餘三個分頻始終沒反應。後來,在數十次的調試之後,才發現是因為規定的信號量范圍太大且信號的初始值隨機,從而不能得到所要的結果。還有的模擬圖根本就不出波形,怎麼調節都不管用,後來才知道原來是路徑不正確,路徑中不可以有漢字。真是細節決定成敗啊!總的來說,這次設計的數字鍾還是比較成功的,有點小小的成就感,終於覺得平時所學的知識有了實用的價值,達到了理論與實際相結合的目的,不僅學到了不少知識,而且鍛煉了自己的能力,使自己對以後的路有了更加清楚的認識,同時,對未來有了更多的信心。

四、參考資料:
1、潘松,王國棟,VHDL實用教程〔M〕.成都:電子科技大學出版社,2000.(1)
2、崔建明主編,電工電子EDA模擬技術北京:高等教育出版社,2004
3、李衍編著,EDA技術入門與提高王行西安:西安電子科技大學出版社,2005
4、侯繼紅,李向東主編,EDA實用技術教程北京:中國電力出版社,2004
5、沈明山編著,EDA技術及可編程器件應用實訓北京:科學出版社,2004
6、侯伯亨等,VHDL硬體描述語言與數字邏輯電路設計西安: 西安電子科技大學出版社,1997
7、辛春艷編著,VHDL硬體描述語言北京:國防工業出版社,2002 就這些

閱讀全文

與vhdl實用教程pdf相關的資料

熱點內容
手機無法連接到google伺服器地址 瀏覽:382
手機內文件夾英文 瀏覽:1000
用什麼app可以美化視頻 瀏覽:653
手機平板加密失敗怎麼辦 瀏覽:80
wbgt接觸時間率的演算法 瀏覽:760
伺服器被關閉怎麼取消 瀏覽:313
怎麼用內網開伺服器地址 瀏覽:563
電子商務filetypepdf 瀏覽:354
linuxsoap安裝 瀏覽:497
c網路編程書籍推薦 瀏覽:846
win10自動關機命令 瀏覽:311
海康伺服器怎麼改硬碟模式 瀏覽:816
app後台運行android 瀏覽:348
對象存儲演算法 瀏覽:736
sql命令中條件子句的關鍵詞 瀏覽:516
貴陽微樂麻將胡牌演算法 瀏覽:708
光纖的伺服器是什麼意思 瀏覽:882
iphone終端命令 瀏覽:176
visualc教程pdf 瀏覽:521
ad適合練什麼app 瀏覽:162