導航:首頁 > 操作系統 > 基於單片機的8路搶答器

基於單片機的8路搶答器

發布時間:2023-03-26 12:19:30

1. 求51單片機的控制的八路搶答器的程序

OK EQU 20H;搶答開始標志位
RING EQU 22H;響鈴標志位
ORG 0000H
AJMP MAIN
ORG 0003H
AJMP INT0SUB
ORG 000BH
AJMP T0INT
ORG 0013H
AJMP INT1SUB
ORG 001BH
AJMP T1INT
ORG 0040H
MAIN: MOV R1,#0FH
MOV R2,#0AH
MOV TMOD,#11H
MOV TH0,#0F0H
MOV TL0,#0FFH
MOV TH1,#3CH
MOV TL1,#0B0H;50ms為一次溢出中斷
SETB EA
SETB ET0
SETB ET1
SETB EX0
SETB EX1
CLR OK
CLR RING
SETB TR1
SETB TR0
;=====查詢程序=====
START: MOV R5,#0BH
MOV R4,#0BH
MOV R3,#0BH
ACALL DISPLAY
JB P1.0,NEXT
ACALL DELAY
JB P1.0,NEXT;去抖動,如果"開始鍵"按下就向下執行,否者跳到非法搶答查詢
ACALL BARK;按鍵發聲
MOV A,R1
MOV R6,A;送R1->R6,因為R1中保存了搶答時間
SETB OK;搶答標志位,用於COUNT只程序中判斷是否查詢搶答
MOV R3,#0AH;搶答只顯示計時,滅號數
AJMP COUNT;進入倒計時程序,"查詢有效搶答的程序"在COUNT裡面
NEXT: JNB P1.1,FALSE1
JNB P1.2,FALSE2
JNB P1.3,FALSE3
JNB P1.4,FALSE4
JNB P1.5,FALSE5
JNB P1.6,FALSE6
AJMP START
;=====非法搶答處理程序=====
FALSE1: ACALL BARK
MOV R3,#01H
AJMP ERROR
FALSE2: ACALL BARK
MOV R3,#02H
AJMP ERROR
FALSE3: ACALL BARK
MOV R3,#03H
AJMP ERROR
FALSE4: ACALL BARK
MOV R3,#04H
AJMP ERROR
FALSE5: ACALL BARK
MOV R3,#05H
AJMP ERROR
FALSE6: ACALL BARK
MOV R3,#06H
AJMP ERROR
;=====INT0(搶答時間R1調整程序)=====
INT0SUB:MOV A,R1
MOV B,#0AH
DIV AB
MOV R5,A
MOV R4,B
MOV R3,#0AH
ACALL DISPLAY
JNB P3.2,INC0;P3.2為+1s鍵,如按下跳到INCO
JNB P3.3,DEC0;P3.3為-1s鍵,如按下跳到DECO
JNB P1.7,BACK0;P1.7為確定鍵,如按下跳到BACKO
AJMP INT0SUB
INC0: MOV A,R1
CJNE A,#63H,ADD0;如果不是99,R2加1,如果加到99了,R1就置0,重新加起。
MOV R1,#00H
ACALL DELAY1
AJMP INT0SUB
ADD0: INC R1
ACALL DELAY1
AJMP INT0SUB
DEC0: MOV A,R1
JZ SETR1;如果R1為0, R1就置99,
DEC R1
ACALL DELAY1
AJMP INT0SUB
SETR1: MOV R1,#63H
ACALL DELAY1
AJMP INT0SUB
BACK0: RETI
;=====INT1(回答時間R2調整程序)=====
INT1SUB:MOV A,R2
MOV B,#0AH
DIV AB
MOV R5,A
MOV R4,B
MOV R3,#0AH
ACALL DISPLAY
JNB P3.2,INC1
JNB P3.3,DEC1
JNB P1.7,BACK1
AJMP INT1SUB
INC1: MOV A,R2
CJNE A,#63H,ADD1
MOV R2,#00H
ACALL DELAY1
AJMP INT1SUB
ADD1: INC R2
ACALL DELAY1
AJMP INT1SUB
DEC1: MOV A,R2
JZ SETR2
DEC R2
ACALL DELAY1
AJMP INT1SUB
SETR2: MOV R2,#63H
ACALL DELAY1
AJMP INT1SUB
BACK1: RETI
;=====倒計時程序(搶答倒計時和回答倒計時都跳到改程序)=====
COUNT: MOV R0,#00H
MOV TH1,#3CH
MOV TL1,#0B0H
RECOUNT:MOV A,R6;R6保存了倒計時的時間,之前先將搶答時間或回答時間給R6
MOV B,#0AH
DIV AB;除十分出個位/十位
MOV 30H,A;十位存於(30H)
MOV 31H,B;個位存於(31H)
MOV R5,30H;取十位
MOV R4,31H;取個位
MOV A,R6
SUBB A,#07H
JNC LARGER;大於5s跳到LARGER,小於等於5s會提醒
MOV A,R0
CJNE A,#0AH,FULL;1s中0.5s向下運行
CLR RING
AJMP CHECK
FULL: CJNE A,#14H,CHECK;下面系1s的情況,響並顯示號數並清R0,重新計時
SETB RING
MOV A,R6
JZ QUIT;計時完畢
MOV R0,#00H
DEC R6;一秒標志減1
AJMP CHECK
LARGER: MOV A,R0
CJNE A,#14H,CHECK;如果1s向下運行,否者跳到查"停/顯示"
DEC R6;計時一秒R6自動減1
MOV R0,#00H
CHECK: JNB P1.7,QUIT;如按下停止鍵退出
ACALL DISPLAY
JB OK,ACCOUT;如果是搶答倒計時,如是則查詢搶答,否者跳過查詢繼續倒數(這里起到鎖搶答作用)
AJMP RECOUNT
ACCOUT: JNB P1.1,TRUE1
JNB P1.2,TRUE2
JNB P1.3,TRUE3
JNB P1.4,TRUE4
JNB P1.5,TRUE5
JNB P1.6,TRUE6
AJMP RECOUNT
QUIT: CLR OK;如果按下了"停止鍵"執行的程序
CLR RING
AJMP START
;=====正常搶答處理程序=====
TRUE1: ACALL BARK;按鍵發聲
MOV A,R2
MOV R6,A;搶答時間R2送R6
MOV R3,#01H
CLR OK;因為答題的計時不再查詢搶答,所以就鎖了搶答
AJMP COUNT
TRUE2:ACALL BARK;
MOV A,R2
MOV R6,A
MOV R3,#02H
CLR OK
AJMP COUNT
TRUE3:ACALL BARK;
MOV A,R2
MOV R6,A
MOV R3,#03H
CLR OK
AJMP COUNT
TRUE4:ACALL BARK;
MOV A,R2
MOV R6,A
MOV R3,#04H
CLR OK
AJMP COUNT
TRUE5: ACALL BARK;
MOV A,R2
MOV R6,A
MOV R3,#05H
CLR OK
AJMP COUNT
TRUE6: ACALL BARK;
MOV A,R2
MOV R6,A
MOV R3,#06H
CLR OK
AJMP COUNT
;=====犯規搶答程序=====
ERROR: MOV R0,#00H
MOV TH1,#3CH
MOV TL1,#0B0H
MOV 34H,R3;犯規號數暫存與(34H)
HERE: MOV A,R0
CJNE A,#0AH,FLASH;0.5s向下運行->滅並停響
CLR RING
MOV R3,#0AH
MOV R4,#0AH
MOV R5,#0AH;三燈全滅
AJMP CHECK1
FLASH: CJNE A,#14H,CHECK1;下面系1s的情況,響並顯示號數並清R0,重新計
SETB RING
MOV R0,#00H
MOV R3,34H;取回號數
MOV R5,#0BH
MOV R4,#0BH;顯示FF和號數
AJMP CHECK1
CHECK1: JNB P1.7,QUIT1
ACALL DISPLAY
AJMP HERE
QUIT1: CLR RING
CLR OK
AJMP START
;=====顯示程序=====
;入口參數:R5,R4,R3存入的分別為第一,二,三為數碼所顯示的位碼
;出口參數:無
DISPLAY:MOV DPTR,#DAT1;查表顯示程序,利用P0口做段選碼口輸出/P2低三位做位選碼輸出,
MOV A,R5
MOVC A,@A+DPTR
MOV P2,#01H
MOV P0,A
ACALL DELAY
MOV DPTR,#DAT2
MOV A,R4
MOVC A,@A+DPTR
MOV P2,#02H
MOV P0,A
ACALL DELAY
MOV A,R3
MOVC A,@A+DPTR
MOV P2,#04H
MOV P0,A
ACALL DELAY
RET
DAT1: DB 00H,06h,5bh,4fh,66h,6dh,7dh,07h,7fh,6fh,00H,71H
;"滅","1","2","3","4","5","6","7","8","9","滅","F"
DAT2: DB 3fh,06h,5bh,4fh,66h,6dh,7dh,07h,7fh,6fh,00H,71H
;第一個為零,其他與上相同,因為十位如果為零顯示熄滅
;====加減時間延時(起到不會按下就加N個數)======
DELAY1: MOV 35H,#08H
LOOP0: ACALL DISPLAY
DJNZ 35H,LOOP0
RET
;=====延時(顯示和去抖動用到)=====
DELAY: MOV 32H,#12H
LOOP: MOV 33H,#0AFH
LOOP1: DJNZ 33H,LOOP1
DJNZ 32H,LOOP
RET
;=====發聲程序=====
BARK: SETB RING
ACALL DELAY1
ACALL DELAY1
CLR RING;按鍵發聲
RET
;=====TO溢出中斷(響鈴程序)=====
T0INT:MOV TH0,#0ECH
MOV TL0,#0FFH
JNB RING,OUT
CPL P3.6 ;RING標志位為1時候P3.6口不短取反使喇叭發出一定頻率的聲音
OUT:RETI
;=====T1溢出中斷(計時程序)=====
T1INT: MOV TH1,#3CH
MOV TL1,#0B0H
INC R0
RETI
END

2. 如何設計基於單片機的多功能8路搶答器

八路搶答器的設計

摘要

本文介紹了一種採用數字電路製作的多功能數顯搶答器,它主要採用了74系列的常用集成電路,它除了具有基本的搶答功能之外,還具有定時報警的功能,和數顯的功能,當搶答開始後,系統會自動倒計時,並且時間是可以預設的,期間有人搶答的話系統會停止計時,如果期間沒人搶答,系統會有短暫的報警,提示搶答結束。

關鍵字

單片機、PLC、搶答、報警、數顯

一、 設計目的

在電視和學校中我們會經常看到一些智力搶答的節目,如果要是讓搶答者用舉手等方法,主持人很容易誤判,會造成搶答的不公平,比賽中為了准確、公正、直觀地判斷出第一搶答者,所設計的搶答器通常由數碼顯示、燈光、音響等多種手段指示出第一搶答者。為了使這種不公平不發生,只有靠電子產品的高准確性來保障搶答的公平性。

二、 方案

製作搶答器可以用好多的方法,可以用單片機來完成,它的功能強大製作簡單,並且外圍的元件也很少;也可以用PLC來實現,他的製作也是比較簡單;還可以用我們學過的EDA技術來製作;最後也可以用數字電路來實現,它的原理比較簡單,集成塊的價格也比較便宜且很容易購買,與我們學完的〈〈數字電路〉〉聯系緊密,能將我們所學知識用於實際,對鞏固所學知識有重要意義,用了一些成型電路,如NE555標准秒脈沖電路等,使總體方案易於實現。

方案一〈採用數字電路〉

1、原理方框圖

定時搶答器的總體框圖如圖1所示,它由主體電路和擴展電路兩部分組成。主體電路完成基本的搶答功能,即開始搶答後,當選手按動搶答鍵時,能顯示選手的編號,同時能封鎖輸入電路,禁止其他選手搶答。擴展電路完成定時搶答的功能。

圖1

圖1所示的定時搶答器的工作過程是:接通電源時,節目主持人將開關置於「清除」位置,搶答器處於禁止工作狀態,編號顯示器滅燈,定時顯示器顯示設定的時間,當節目主持人宣布「搶答開始」,同時將控制開關撥到「開始」位置,揚聲器給出聲響提示,搶答器處於工作狀態,定時器倒計時。當定時時間到,卻沒有選手搶答時,系統報警,並封鎖輸入電路,禁止選手超時後搶答。當選手在定時時間內按動搶答鍵時,搶答器要完成以下四項工作:

①優先編碼電路立即分辨出搶答者的編號,並由鎖存器進行鎖存,然後由解碼顯示電路顯示編號;

②揚聲器發出短暫聲響,提醒節目主持人注意;

③控制電路要對輸入編碼電路進行封鎖,避免其他選手再次進行搶答;

④控制電路要使定時器停止工作,時間顯示器上顯示剩餘的搶答時間,並保持到主持人將系統清零為止。當選手將問題回答完畢,主持人操作控制開關,使系統回復到禁止工作狀態,以便進行下一輪搶答。

方案二〈採用單片機〉

1、原理方框圖

此電路完成的功能如圖2所示,當主持人宣布搶答開始的時候,按下開始按鈕,此時電路進入搶答狀態,選手的輸入採用了掃描式的輸入,之後把相應的信息送往單片機,再由單片機輸出到顯示輸出電路中。此時有人第一按下相應的搶答按鈕,經過單片機的控制選擇,在八段顯示器上顯示相應的號碼,並鎖存,同時禁止其他按鈕的輸入。

(圖2)

方案三〈採用PLC〉

1、原理方框圖

此電路的功能如圖3所示,當主持人打開啟動開關後,在設定時間TO 內,如果某組搶先按下搶答按鈕,則驅動音效電路①發出聲響,指示燈LI亮,並且在8段數碼管顯示器上顯示出搶答成功的組號,此時電路實現互鎖,其他組再按下搶答按鈕為無效;

如果在時間TO內,無人應答,則驅動音效電路②發出聲響,指示燈L2亮,表示搶答者均放棄該題;

在搶答成功後,主持人打開限時開關SW2,啟動計時器,在設定的時間TI 內回答有效,當到達設定時間TI時,驅動音效電路③,指示燈L3亮,表示答題時間到。

(圖3)

三、 方案選擇

方案比較
數字電路
單片機
PLC

製作難度

一般
一般

實現難度
一般



價格

一般


電路原理
簡單
一般
一般

設計難度
簡單

一般

通過上面的方案比較,數字電路的製作方案比較容易實現,並且在原理方面也是比較簡單,所以我選擇採用第一種方案來完成搶答器電路。

四、 設計系統功能

1.基本功能:

(1) 同時供8名選手比賽,分別用8個按鈕S0 ~ S7表示。

(2)設置一個系統清除和搶答控制開關S,該開關由主持人控制。

(3)搶答器具有鎖存與顯示功能。即選手按動按鈕,鎖存相應的編號,揚聲器發出聲響提示,並在七段數碼管上顯示選手號碼。選手搶答實行優先鎖存,優先搶答選手的編號一直保持到主持人將系統清除為止。

2.擴展功能:

(1)搶答器具有定時搶答功能,且一次搶答的時間由主持人設定(如30秒)。當主持人啟動"開始"鍵後,定時器進行減計時。

(2)參賽選手在設定的時間內進行搶答,搶答有效,定時器停止工作,顯示器上顯示選手的編號和搶答的時間,並保持到主持人將系統清除為止。在這段(3)如果定時時間已到,無人搶答,本次搶答無效,系統報警並禁止搶答,定時顯示器上顯示00。

五、 各部分單元電路的設計

(1)搶答器的主體電路設計

搶答電路的功能有兩個:一是能分辨出選手按鍵的先後,並鎖存優先搶答者的編號,供解碼顯示電路用;二是要使其他選手的按鍵操作無效。選用優先編碼器74148和DFF鎖存器可以完成上述功能,其電路組成如圖4所示。其工作原理是:當主持人控制開關處於「清除」位置時,RS觸發器的端為低電平,輸出端(4Q~1Q)全部為低電平。於是74LS48的 =0,顯示器滅燈;74148的選通輸入端 =0,74LS148處於工作狀態,此時鎖存電路不工作。當主持人開關撥到「開始」位置時,優先編碼電路和鎖存電路同時處於工作狀態,即搶答器處於等待工作狀態,等待輸入端 7... 0輸入信號,當有選手將鍵按下時(如按下S5),74LSl48的輸出=010, =0,經RS鎖存器後,CTR=l, =1,74LS279處於工作狀態,4Q3Q2Q=101,經74LS48解碼後,顯示器顯示出「5」。此外,CTR=1,使74l48的端為高電平,74LSl48處於禁止工作狀態,封鎖了其它按鍵的輸入。當按下的鍵松開後,74LSl48的為高電平,但由於CTR維持高電平不變,所以74LSl48仍處於禁止工作狀態,其它按鍵的輸入信號不會被接收。這就保證了搶答者的優先性以及搶答電路的准確性。當優先搶答者回答完問題後,由主持人操作控制開關S,使搶答電路復位,以便進行下一輪搶答。

(圖4)

74LS148為8線-3線優先編碼器,表1為其功能表。

表1 74LS148的功能真值表

(2)定時電路設計

原理及設計:該部分主要由555定時器秒脈沖產生電路、十進制同步加減計數器74LS192減法計數電路、74LS48解碼電路和2個7段數碼管即相關電路組成。具體電路如圖5所示。兩塊74LS192實現減法計數,通過解碼電路74LS48顯示到數碼管上,其時鍾信號由時鍾產生電路提供。74192的預置數控制端實現預置數,由節目主持人根據搶答題的難易程度,設定一次搶答的時間,通過預置時間電路對計數器進行預置,如果要設置為30S,就可以在計數器的預置數控制端輸入00110000。時鍾脈沖由秒脈沖電路提供。按鍵彈起後,計數器開始減法計數工作,並將時間顯示在共陰極七段數碼顯示管上,當有人搶答時,停止計數並顯示此時的倒計時時間;如果沒有人搶答,且倒計時時間到時,輸出低電平到時序控制電路,控制報警電路報警,同時以後選手搶答無效。具體電路如圖5所示。

(圖5)

秒脈沖產生電路:秒脈沖由NE555提供,它的的3端輸出的脈沖的頻率為

,結合我們的實際經驗及考慮到元器件的成本,我們選擇的電阻值為R1=15K,R2=68K,C=10uF,代入到上式中即得,即秒脈沖。

(3)報警電路的設計

採用555定時器和三極體構成的報警電路如圖6所示。其中555構成多諧振盪器,振盪頻率

其輸出信號經三極體推動揚聲器。PR為控制信號,當PR為高電平時,多諧振盪器工作,當PR為低電平的時候,電路停振。

(圖6)

(4)時序控制電路設計

與門G1 的作用是控制時鍾信號CP的放行與禁止,門G2的作用是控制74LS148的輸入使能端(即圖二中的5端)。圖7的工作原理是:主持人控制開關從"清除"位置撥到"開始"位置時,來自於圖2中的74LS279的輸出 1Q,即CTR=0,經G3反相,輸出為1,則NE555產生的時鍾信號CP能夠加到74LS192的CPD時鍾輸入端,定時電路進行遞減計時。同時,在定時時間未到時,則"定時到信號"為 1,門G2的輸出 =0,使 74LS148處於正常工作狀態。當選手在定時時間內按動搶答按鍵時,CTR=1,經G3反相,輸出為0,封鎖 CP信號,定時器處於保持工作狀態;同時,門G2的輸出 =1,74LS148處於禁止工作狀態。當定時時間到時,則"定時到信號"為0,/ST=1,74LS148處於禁止工作狀態,禁止選手進行搶答。同時,門G1處於關門狀態,封鎖時鍾CP信號,使定時電路保持00狀態不變,此次搶答結束。

(圖7)

集成單穩態觸發器74LS121用於控制報警電路及發聲的時間(其功能表見表2),具體原理如下:主要由555時鍾電路(用於控制報警聲音頻率)、蜂鳴器即相關的延時電路和控制電路組成。單穩態觸發器74121通過信號/Ys、BO2、S控制報警與否和報警時間,555時鍾電路產生脈沖時鍾。在規定的時間有人搶答時,/Ys由1跳變到0,74121有狀態2,即Q輸出暫態高電平,蜂鳴器連續發聲報警,持續時間為 =2.15秒;如果在規定時間內無人搶答,BO2由1跳變到0,74121有狀態1,Q輸出暫態高電平,蜂鳴器連續發聲報警持續時間為
結合圖6所示報警電路,分析 計算如下: 。取C=100uF, R=25K,。有=2.15秒。(原理圖見圖8)

(圖8)

表2 74121功能表

(6) 電源電路

電源電路採用三端集成線性穩壓集成塊,L7805CV,因為它的外圍電路比較簡單,並且工作比較穩定,很適合74系列的集成塊。它的穩壓精度為2%,工作電流1.5A,封裝為TO-220(A),工作溫度也很不錯,並且具有過溫保護和短路保護,最大輸入電壓為35V,能對電路的長時間工作有很大的保障。(具體電路見圖9)

(圖9)

六、 總結

畢業設計不僅是對前面所學知識的一種檢驗,而且也是對自己能力的一種提高。下面我對整個畢業設計的過程做一下簡單的總結。

第一,接到任務以後進行選題。選題是畢業設計的開端,選擇恰當的、感興趣的題目,這對於整個畢業設計是否能夠順利進行關系極大。好比走路,這開始的第一步是具有決定意義的,第一步邁向何方,需要慎重考慮。否則,就可能走許多彎路、費許多周折,甚至南轅北轍,難以到達目的地。因此,選;題時一定要考慮好了。

第二,題目確定後就是找資料了。查資料是做畢業設計的前期准備工作,好的開端就相當於成功了一半,到圖書館、書店、資料室去雖說是比較原始的方式,但也有可取之處的。總之,不管通過哪種方式查的資料都是有利用價值的,要一一記錄下來以備後用。

第三,通過上面的過程,已經積累了不少資料,對所選的題目也大概有了一些了解,這一步就是在這樣一個基礎上,綜合已有的資料來更透徹的分析題目。

第四,有了研究方向,就應該動手實現了。其實以前的三步都是為這一步作的鋪墊。

通過這次設計,我對數字電路設計中的邏輯關系等有了一定的認識,對以前學的數字電路又有了一定的新認識,溫習了以前學的知識,就像人們常說的溫故而知新嘛,但在設計的過程中,遇到了很多的問題,有一些知識都已經不太清楚了,但是通過一些資料又重新的溫習了一下數字電路部分的內容。在這次畢業設計中也使我們的同學關系更進一步了,同學之間互相幫助,有什麼不懂的大家在一起商量,聽聽不同的看法對我們更好的理解知識,所以在這里非常感謝幫助我的同學。

在此要感謝我的指導老師,感謝老師給我這樣的機會鍛煉。在整個畢業設計過程中我懂得了許多東西,也培養了我獨立工作的能力,樹立了對自己工作能力的信心,相信會對今後的學習工作生活有非常重要的影響。而且大大提高了動手的能力,使我充分體會到了在創造過程中的探索的艱難和成功的喜悅。雖然這個項目還不是很完善,但是在設計過程中所學到的東西是這次畢業設計的最大收獲和財富,使我終身受益。

3. AT89C51單片機8路搶答器的代碼怎麼寫,急用,求解答

#include<reg51.h>
#defineucharunsignedchar
uchartable[]={0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f};
sbitrstkey=P2^2;
sbitspeaker=P2^0;
voiddelay(uchara)
{
uchari,j;
for(i=0;i<a;i++)
for(j=0;j<120;j++);
}
main()
{
uchari,key;
P2=0xff;
while(1)
{
i=0;
P1=table[i];
while(1)
{
key=P3;
if(key!=0)break;
}
switch(key)
{
case0x01:i=1;break;
case0x02:i=2;break;
case0x04:i=3;break;
case0x08:i=4;break;
case0x10:i=5;break;
case0x20:i=6;break;
case0x40:i=7;break;
case0x80:i=8;break;
default:break;
}
P1=table[i];//顯示按下搶答器的號
P0=key;//顯示按下鍵號的LED
while(1)
{
if(rstkey==0)break;//主持人按下復位鍵則重新開始
speaker=~speaker;//否則蜂鳴器報警
delay(250);
}
}
}

4. 求基於單片機的八路搶答器設計,要用到74LS47N和共陽led,求電路圖和程序(最好是C),加急!!

#include<reg52.h>

#defineucharunsignedchar

#defineuintunsignedint

sbitkai=P2^2;

sbitting=P2^3;

sbithao1=P1^0;

sbithao2=P1^1;

sbithao3=P1^2;

sbithao4=P1^3;

sbithao5=P1^4;

sbithao6=P1^5;

sbithao7=P1^6;

sbithao8=P1^7;

sbitwela=P2^1;

sbitdela=P2^0;

sbitP2_6=P2^6;

sbitP2_7=P2^7;

ucharcodetable[]=

{0x3f,0x06,0x5b,0x4f,

0x66,0x6d,0x7d,0x07,

0x7f,0x6f,0x40};

uchara,a1,num,shi,ge,hao,

aa,num1,flag1,flag2,flag3,flag4,

flag5,flag6,flag7,flag8,flag9,flag10;

voiddisplay(ucharhao,ucharaa,ucharshi,ucharge);

voidinit();

voiddelay(uintz);

voidkeyscan();

voidmain()

{

init();

while(1)

{

keyscan();

if(flag1==1)

{

display(hao,10,shi,ge);

}

else

{

display(hao,10,0,0);

}

}

}

voiddelay(uintz)

{

uintx,y;

for(x=z;x>0;x--)

for(y=110;y>0;y--);

}

voidkeyscan()

{

if(kai==0)

{

delay(5);

if(kai==0)

{

while(!kai);

hao=0;

num=30;

shi=3;

ge=0;

TR0=1;

flag1=1;

P2_7=0;

P2_6=1;

}

}

if(ting==0)

{

delay(5);

if(ting==0)

{

while(!ting)

{

a=0;

num=30;

num1=0;

hao=0;

shi=3;

ge=0;

TR0=0;

TR1=0;

flag1=0;

P2_6=0;

P2_7=1;

P3=0xff;

}

}

}

if(flag1==1)

{

if(hao==0&&hao1==0)

{

delay(5);

if(hao1==0)

{

while(!hao1);

P2_6=1;

P2_7=1;

P3=0xfe;

flag2=1;

shi=0;

ge=0;

hao=1;

TR1=1;

TR0=0;

}

}

if(hao==0&&hao2==0)

{

delay(5);

if(hao2==0)

{

flag3=1;

while(!hao2);

P2_6=1;

P2_7=1;

P3=0xfd;

shi=0;

ge=0;

hao=2;

TR0=0;

TR1=1;

}

}

if(hao==0&&hao3==0)

{

delay(5);

if(hao3==0)

{

flag4=1;

while(!hao3);

P2_6=1;

P2_7=1;

P3=0xfb;

shi=0;

ge=0;

TR0=0;

TR1=1;

hao=3;

}

}

if(hao==0&&hao4==0)

{

delay(5);

if(hao4==0)

{

flag5=1;

while(!hao4);

P2_6=1;

P2_7=1;

P3=0xf7;

shi=0;

ge=0;

TR0=0;

TR1=1;

hao=4;

}

}

if(hao==0&&hao5==0)

{

delay(5);

if(hao5==0)

{

flag6=1;

while(!hao5);

P2_6=1;

P2_7=1;

P3=0xef;

shi=0;

ge=0;

TR0=0;

TR1=1;

hao=5;

}

}

if(hao==0&&hao6==0)

{

delay(5);

if(hao6==0)

{

flag7=1;

while(!hao6);

P2_6=1;

P2_7=1;

P3=0xdf;

shi=0;

ge=0;

hao=6;

TR0=0;

TR1=1;

}

}

if(hao==0&&hao7==0)

{

delay(5);

if(hao7==0)

{

flag8=1;

while(!hao7);

P2_6=1;

P2_7=1;

P3=0xbf;

shi=0;

ge=0;

hao=7;

TR0=0;

TR1=1;

}

}

if(hao==0&&hao8==0)

{

delay(5);

if(hao8==0)

{

flag9=1;

while(!hao8);

P2_6=1;

P2_7=1;

P3=0x7f;

shi=0;

ge=0;

hao=8;

TR0=0;

TR1=1;

}

}

if(!hao==0)

{

if(flag2==1)

{

if(hao1==0)

{

delay(5);

if(hao1==0)

{

TR1=0;

}

}

}

if(flag3==1)

{

if(hao2==0)

{

delay(5);

if(hao2==0)

{

TR1=0;

}

}

}

if(flag4==1)

{

if(hao3==0)

{

delay(5);

if(hao3==0)

{

TR1=0;

}

}

}

if(flag5==1)

{

if(hao4==0)

{

delay(5);

if(hao4==0)

{

TR1=0;

}

}

}

if(flag6==1)

{

if(hao5==0)

{

delay(5);

if(hao5==0)

{

TR1=0;

}

}

}

if(flag7==1)

{

if(hao6==0)

{

delay(5);

if(hao6==0)

{

TR1=0;

}

}

}

if(flag8==1)

{

if(hao7==0)

{

delay(5);

if(hao7==0)

{

TR1=0;

}

}

}

if(flag9==1)

{

if(hao8==0)

{

delay(5);

if(hao8==0)

{

TR1=0;

}

}

}

}

}

}

voiddisplay(ucharhao,ucharaa,ucharshi,ucharge)

{

P0=0xff;

wela=1;

P0=0xfe;

wela=0;

P0=0;

dela=1;

P0=table[hao];

dela=0;

delay(5);

P0=0xff;

wela=1;

P0=0xfd;

wela=0;

P0=0;

dela=1;

P0=table[aa];

dela=0;

delay(5);

P0=0xff;

wela=1;

P0=0xfb;

wela=0;

P0=0;

dela=1;

P0=table[shi];

dela=0;

delay(5);

P0=0xff;

wela=1;

P0=0xf7;

wela=0;

P0=0;

dela=1;

P0=table[ge];

dela=0;

delay(5);

}

voidinit()

{

TMOD=0X11;

TH0=(65536-50000)/256;

TL0=(65536-50000)%256;

EA=1;

ET0=1;

TH1=(65536-50000)/256;

TL1=(65536-50000)%256;

ET1=1;

num=30;

num1=0;

a1=0;

a=0;

shi=3;

ge=0;

flag1=0;

P2_6=0;

}

voidtimer0()interrupt1

{

TH0=(65536-50000)/256;

TL0=(65536-50000)%256;

a++;

if(a==18)

{

a=0;

num--;

if(num==0)

{

num=0;

TR0=0;

}

shi=num/10;

ge=num%10;

}

}

voidtimer1()interrupt3

{

TH1=(65536-50000)/256;

TL1=(65536-50000)%256;

a1++;

if(a1==18)

{

a1=0;

num1++;

if(num1==60)

{

P3=0xff;

P2_6=0;

P2_7=1;

TR1=0;

}

shi=num1/10;

ge=num1%10;

}

}

5. 單片機八人搶答器程序設計

;------------------------------------------
KAI BIT P3.7 ;開始
;------------------------------------------
ORG 0000H
JMP START
START:
;----------------------;下面是清零(復位)
MOV P0, #0C0H ;顯示0
MOV P2, #255 ;熄燈
MOV R4, #0
;----------------------;下面等待按下"開始"鍵
KAISHI:
JB KAI, KAISHI ;沒有按"開始",就循環
CALL DELAY ;延時
JB KAI, KAISHI ;消抖
JNB KAI, $ ;等待釋放
;----------------------
MOV P0, #0CH ;顯示P
WAIT: ;等待搶答
MOV A, P1
CPL A
JZ WAIT ;繼續等待
;----------------------
XS: ;查找選手按鍵的位置
CPL A
MOV P2, A
JNB ACC.0, J0
JNB ACC.1, J1
JNB ACC.2, J2
JNB ACC.3, J3
JNB ACC.4, J4
JNB ACC.5, J5
JNB ACC.6, J6
; JMP J7
J7: INC R4
J6: INC R4
J5: INC R4
J4: INC R4
J3: INC R4
J2: INC R4
J1: INC R4
J0: INC R4
MOV A, R4
MOV DPTR, #D_TAB
MOVC A, @A + DPTR
MOV P0, A
;----------------------;下面等待按下復位鍵
W_FW:
JB KAI, W_FW ;沒有按,則轉
CALL DELAY ;延時
JB KAI, W_FW ;消抖
JNB KAI, $ ;等待釋放
JMP START
;------------------------
D_TAB:
DB 0C0H,0F9H,0A4H,0B0H, 99H ;共陽極0-9
DB 92H, 82H,0F8H, 80H, 90H,0BFH ;-
;------------------------------------------
DELAY: ;延時約10ms
MOV R6, #20
DJNZ R7, $
DJNZ R6, $ - 2
RET
;==========================================
END

;以上程序已經調好。

6. 八路搶答器怎麼用單片機去實現,它需要哪些功能希望能給我一些實現八路搶答器的清晰思路,我不甚感激!!

八路搶單器,一般而言需要的功能有:搶答按鈕(八個),指示燈(至少八個),顯示(至少一位數碼管),警報提示(蜂鳴器),復位按鈕(或開始按鈕,由主持人控制)。

擴展功能,倒計時數碼顯示。

7. 設計一個以單片機為核心的8位競賽搶答器,要求如下:

8路搶答器,這種題目,在網路上真是泛濫成災了,隨便一搜就能搜到一大堆,有模擬圖和程序全部資料的。或者網路文庫里也同樣可以搜索到,還是畢業論文,更是詳細。

下圖是一個8路搶答器的模擬圖。

8. 怎麼設計基於51單片機的八位搶答器課程設計用c語言

你的描述不詳:下面我給出方法,自已參考:
1:主持人端有一個單片機設備 且設備有一個或多個按鍵,單個按鍵,那麼就做成按鍵復用,如果是多個按鍵,那就可以按要求設置按鍵功能和數量。參賽隊每隊一個設備,如果不方便能過連線來通訊,就採用無線通訊,搶答端只需一個按鍵按鍵,同時在按鍵里或邊上用指示燈來指示狀誠。
2:邏輯,當主持人按下搶答鍵時,主持人端設備向8個參賽隊發送搶答開始信號數據,參賽隊8個設備向主持端應答,判斷收到應答後,開始計時10秒,某一隊按下按鍵時,這隊會向主持端發送本隊的編號即可,說到這里,後面的基本上都是一樣的原理,就是收發數據,應答,判斷數據類型和功能。即可達到你的要求。

9. 求51單片機的8路搶答器,在普中開發板上實現

單片機源程序如下:

#include<reg51.h>
#define uchar unsigned char
#define uint unsigned int
#define WHO P0
sbit player1=P1^0;
sbit player2=P1^1;
sbit player3=P1^2;
sbit player4=P1^3;
sbit Ensure=P3^7;
sbit beep=P1^6;
sbit LSA=P3^2;
sbit LSB=P3^3;
sbit LSC=P3^4;
uchar state=0;
uchar ssec=0;
uchar table[]={0xc0,0xf9,0xa4,0xb0,0x99,0x92,0x82,0xf8,0x80,0x90};
uchar OUT[]={0x71,0x77,0x38,0x38};
void delay(uint time)
{
uint x,y ;
for(x=time;x>0;x--)
for(y=130;y>0;y--);
}
void Delay2(uint i)
{
while(i--);
}
void Show(uchar content[])
{
uchar i;
for(i=0;i<4;i++)
{
switch(i) //位選,選擇點亮的數碼管,
{
case(0):
LSA=0;LSB=0;LSC=0; break;//顯示第0位
case(1):
LSA=1;LSB=0;LSC=0; break;//顯示第1位
case(2):
LSA=0;LSB=1;LSC=0; break;//顯示第2位
case(3):
LSA=1;LSB=1;LSC=0; break;//顯示第3位
}
P2=content[i];//發送段碼
Delay2(100); //間隔一段時間掃描
P2=0x00;//消隱
}
}
void InitTimer0(void)
{
TMOD = 0x01;
TH0 = 0x0D8;
TL0 = 0x0F0;
EA = 1;
ET0 = 1;
TR0 = 1;
}
void main()
{
Ensure=1;
while(1)
{
if(player1==0) //玩家1進入搶答狀態
{
delay(10); //延時,按鍵消抖
if(player1==0) //再次判斷是否進入搶答狀態
{
if(state==0) //前方無人搶答狀態
{
InitTimer0();
state=1; //將搶答狀態置為1,防止其他玩家搶答
while(!player1) //搶答成功,蜂鳴器發聲
{
beep=~beep;
delay(1);
}
WHO=table[1]; //數碼管顯示搶答成功的玩家編號
}
}
}
if(player2==0) //以下結構類似於玩家1
{
delay(10);
if(player2==0)
{
if(state==0)
{
InitTimer0();
state=1;
while(!player2)
{
beep=~beep;
delay(1);
}
WHO=table[2];
}
}
}
if(player3==0)
{
delay(10);
if(player3==0)
{
if(state==0)
{
InitTimer0();
state=1;
while(!player3)
{
beep=~beep;
delay(1);
}
WHO=table[3];
}
}
}
if(player4==0)
{
delay(10);
if(player4==0)
{
if(state==0)
{
InitTimer0();
state=1;
while(!player4)
{
beep=~beep;
delay(1);
}
WHO=table[4];
}
}
}
}
}
void Timer0() interrupt 1
{
TH0=(65536-45872)/255; //給定時器賦初值,定時50ms
TL0=(65536-45872)%255;
ssec++;
if(Ensure)
{
if(ssec>=100) //5s
{
EA=0;
while(1)
Show(OUT);
}
}
else
{
delay(10);
if(Ensure==0)
{
while(!Ensure);
Ensure=0;
if(ssec>=100)
{
EA=0;
}
}
}
}

閱讀全文

與基於單片機的8路搶答器相關的資料

熱點內容
伺服器如何添加自啟軟體 瀏覽:488
小時候的一部台灣電影的名字 瀏覽:510
為什麼看片的網站都看不了了 瀏覽:476
交換的一天女主角是 瀏覽:669
男主是只黑豹子叫夜 瀏覽:517
韓國愛情電影割眼鏡 瀏覽:712
微信伺服器怎麼連接到客戶端的 瀏覽:435
朴銀狐的電影有什麼 瀏覽:881
河北航天金稅盤伺服器地址雲主機 瀏覽:406
男人看日本片網址求一個 瀏覽:760
如何用boat玩伺服器 瀏覽:273
天堂txt 瀏覽:305
陳小春去台灣是哪一部 瀏覽:973
宅男免費影院 瀏覽:198
電影阿努可敦在線觀看 瀏覽:262
法國小女生電影推薦 瀏覽:606
畫江湖之不良人同人小說 瀏覽:75
北京少兒編程必修課 瀏覽:991
我愛你韓國百度雲 瀏覽:761
攻重生寵前世男妻 瀏覽:958