導航:首頁 > 編程語言 > VGA在線編程

VGA在線編程

發布時間:2022-11-30 06:03:35

❶ 我家電視升級,還沒升好,咋回事

什麼叫升級?
當前的電視機智能化程度高,客戶要求也越來越高,為了便於程序的開發,新型電視機控制系統一般把存放程序文件的ROM存儲器既FLASH程序存儲器外置,。因此與傳統電視機不同的是,由於程序BUG造成的EEPROM校正將不存在,同時也不存在更換需要寫入專用數據的E2PROM。但同時帶來的問題就是FLASH的數據刷新,我們常稱為升級。' i6 t, {6 V' i6 B0 N
電視升級就是PC主機通過專用的介面電路將bin或hex格式的數據在線寫入到電視機的FLASH中。目前電視機內MCU介面電路主要分RS232、TTL、I2C匯流排三種,介面電路就是負責建立PC與電視機 MCU之間的硬體連接關系,即我們所說的升級工裝。! _; E: n1 s- Z' `' o2 n4 }
為什麼要升級?
1、在設計之時不能完全預見以後的使用環境,比如某些非標信號出現無伴音、無彩色、出現干擾白邊等等。
2、有時應大客戶要求在不改變硬體電路的情況下增減某些特殊功能,比如酒店或者專業場所需要專用開機LOGO,或者隱藏搜索菜單,或者顯示酒店電話等等,此時就需要進行軟體升級來達到使用要求。
3、維修平板時經常要代換主板,而主板針對的屏不同 除了上屏電壓不同flash程序也不同,我們經過硬體電壓修改後往往還要升級程序。
升級有哪些方法?
通常有兩種,一是通過相應的升級平台和工裝將數據在線寫入flash,二是將flash拆下來 ,直接用編程器燒錄進去。

升級需要一些什麼東西?具有windows操作系統的電腦(主機最好同時帶有25針並口、9針串口和USB介面)、升級工裝(最傷腦筋的東東)、連接數據線、升級平台軟體和升級數據文件。

為什麼要介面工裝?因為計算機的控制埠輸出信號與電視機能夠接收的信號存在幅度和格式有所不同(電視機本身帶有RS232介面的除外),要進行信號幅度與格式的匹配,必須使用一個轉接裝置,通常稱為升級工裝。

電腦是和電視通過什麼方式連接通訊?計算機到升級工裝的聯接一般使用RS232口(也稱COM口,九針串口)或列印口(也稱LPT口,25針並口),升級工裝到電視機一般使VGA口,升級工裝電源一般直接從USB口取得。
在電視機內部,電視核心控制晶元在設計之初都預留有在線寫程埠,通常由兩個引腳構成:
RXD 寫程式控制制輸入,由計算機輸入到電視機主晶元的控制信號。
TXD 寫程式控制制輸出,由電視機返回計算機的控制信號,完成寫程的閉環控制和數據校驗。
除此之外還需要一個參考「地」電位,以保證數據信號的正常傳輸,即計算機至電視機
的一根公共地線。所有信號都是「地」電位為參考的。部分早期電視還有一個寫程式控制制線(下面DS/ES/CS實際操作中短接插針就是這種意義),用來進行切換ISP寫程(燒寫FLASH)或在DDC下寫E-IDE(電視身份數據)數據,現在的電視機一般已不再使用。
上述四個用來傳輸數據的引線,一般和電視機外部信號輸入介面進行復用,既達到不拆機進行在線寫程的目的,又可以不增加額外的埠,在大部分機芯上,在線升級服務埠和VGA(或DVI)進行復用,具體針腳定義為VGA插座的12腳(DDC的SDA)為RXD;15腳(DDC的SCL)為TXD;4腳(VGA信號的空腳)為ISP和DDC的切換控制,高電平時ISP寫程低電平時寫DDC的E-IDE數據。目前的電視機已不使用此腳。

國內各種品牌機器升級介面定義:
康佳VGA插座12腳為TXD,15腳RXD ,康佳PD32ES33同時4腳為TXD,11腳RXD ,見圖紙

福建冠捷AOC機型在升級時VGA插座的4腳為TXD,11腳RXD,此兩腳在VGA下通常為空腳;見下圖:

深圳唯冠 VGA插座在升級有兩種方式:1、11腳為DDC-SW(大部分機器已經廢止),12腳為RXD,15腳為TXD,如OEM的長虹LT3769; 1、VGA插座的12腳為TXD,15腳為RXD,如OEM的康佳LC32CS31和長虹LT3269,二者除了LOGO不同,其他全部一樣。

自製升級工裝比較簡單,喜歡動手的朋友自己完全可以DIY一個,總成本不過幾元錢,下面就將升級專用連接線製作方法及工裝製作方法分析如下:
通用串口線(九針):一端公頭、一端母頭,2針直通,3針直通。金屬外殼直通(公共地)。共三根連接線,線長約1米,太長易受干擾,太短不便使用,針腳編號一般在針腳旁邊都有標注,以下同。
通用VGA線(15針):兩端公頭,4針連通,12針連通,15針連通,5針連通(公共地)。
通用LPT線(25針):一端公頭,一端母頭。9針直通,11針直通,12針直通,15針直通,17針直通,外殼連通。

HDCP-KEY 針對HDMI介面的一個協議數據 保存在液晶電視上的一個專用存儲器裡面 可以更新的,目前沒有什麼實際意義 。就是該協議數據損壞 機器也照樣可正常工作 了不起HDMI介面無法使用

看看相關知識:HDCP(High -bandwidth Digital Content Protection):高帶寬數字內容保護技術。HDTV(高清電視)時代即將來臨,為了適應高清電視的高帶寬,出現了HDMI。HDMI是一種高清數字介面標准,它可以提供很高的帶寬,無損地傳輸數字視頻和音頻信號。為了保證HDMI或者DVI傳輸的高清晰信號不會被非法錄制,就出現了HDCP技術。HDCP技術規范由Intel領頭完成,當用戶進行非法復制時,該技術會進行干擾,降低復制出來的影像的質量,從而對內容進行保護。

❷ 怎麼用根VGA的線刷顯示屏

需要VGA編程器
將編程器連到要刷機的顯示器VGA口,加電開機,然後操作編程器刷寫程序即可。

❸ C語言中vga和VGAHI是是什麼意思

vga是video graphics array(視頻圖形陣列適配器)的縮寫,是C語言所支持的一種顯示器適配器.
VGAHI是vga的一種顯示模式,為640*480的高解析度顯示方式.
另外,vga還有640*350的中解析度顯示方式(VGAMED),640*200的低解析度顯示方式(VGALO).

❹ 跪求專業大神作答:VGA顯示學號控制電路問題(硬體編程問題,具體要求如下)

問題4:

如果它不能正常顯示模式=線取掉

改變:驅動程序=檢測

initgraph(「&驅動器,及模式,」.. \ \ BGI) ;

注意:\ \ BGI是您的計算機c + +編程軟體BGI一般默認路徑為.. \ \ BGI

主題:學習putpixel畫點。

1。程序分析:。

2源代碼。

的#include「stdio.h中」

的#include「graphics.h的」

的main()

{

INT I,J,司機= VGA介面,模式= VGAHI ;

initgraph(&驅動器,及模式,「」);

setbkcolor(黃色);

就(i = 50; I <= 230,我+ = 20)

為(J = 50;<= 230; J + +)

putpixel(I,J,1);

為(J = 50;<= 230,J + = 20)就(i = 50; I <= 230; i + +)

putpixel(I,J,1);

}

主題:畫橢圓橢圓

1方案分析:。

2源代碼。

的#include「stdio.h中」

#包括「圖形。H」

的#include「conio.h的」

的main()

{

整型X = 360,Y = 160,驅動器= VGA介面,模式= VGAHI;

整型數= 20,I;

整數頂部,底部;

initgraph(&驅動器,與模式「,」 );

頂級= Y-30;

底= Y -30;

就(i = 0;我<民,我+ +)

{

橢圓(250,250,0,360,頂部,底部);

頂= 5;

底+ = 5;

}

的getch();

}

主題:使用橢圓和矩形繪圖。 。

1程序分析:

2源代碼。

的#include「stdio.h中」

的#include「graphics.h的」

的#include「conio.h的」

的main()

{

整型驅動程序= VGA介面,模式= VGAHI;

INT I,編號= 15,頂部= 50;

整數左邊= 20,右邊= 50;

initgraph(&驅動器,及模式,「 「);

就(i = 0;我<民,我+ +)

{

橢圓(250,250,0,360,右,左);

橢圓(250,250,0,360 ,20,頂部);

矩形(20-2 * I ,20-2 * I 10 *(I 2),10 *(I 2));

右+ = 5;

左+ = 5;

上衣+ = 10;

}

的getch();

}

主題:其中一個最美麗的設計。 。

1程序分析:

2源代碼。

的#include「graphics.h的」

的#include「math.h中」

#包括「DOS.H」

的#include「conio.h的」

#包括「stdlib.h中」

的#include「stdio.h中」

#包括「stdarg頭文件。H」

#定義MAXPTS 15

#定義PI 3.1415926

結構分{

整數的x,y;

};

雙AspectRatio = 0.85;

無效LineToDemo(無效)

{

結構viewporttype副總裁; 結構PTS點[MAXPTS];

INT I,J,H,W,X中心值,ycenter;

整數半徑,角度,步驟;

雙拉德;

printf(「請MOVETO / lineTo的示範「);

getviewsettings(&VP);

H = VP。底部 - vp.top;

W = vp.right - vp.left;

X中心值= W / 2; / *判斷圓* /

ycenter = H / 2的中心; 半徑=(H - 30)/(AspectRatio * 2);

步= 360 / MAXPTS; / *判斷#增量* /

角= 0; / *開始在零攝氏度* /

就(i = 0; I <MAXPTS; + I){/ *判斷圓攔截* /

拉德=(雙)角* PI / 180.0; / *轉換角度為弧度* /

點[I] X = X中心值+(int)的(COS(拉德)*半徑);

點[I] Y = ycenter - (int)的(罪(拉德)*半徑* AspectRatio .. );

角度+ =步驟; / *移動到下一個增量* /

圓(X中心值,ycenter,半徑); / *繪制邊界圓* /

為( I = 0我「MAXPTS; + I){/ *繪制線到圓* /

為(J =;<MAXPTS; + + J){/ *對於每個剩餘的相交* /

MOVETO(點由[i]×,點[I] Y ..); / *移動到的線* /

lineTo的開始(..點[J]×,點[J] Y) ; / *繪制的線* /

}}}

的main()

{詮釋驅動程序,模式;

驅動程序= CGA;模式= CGAC0;

initgraph(與司機,及模式,「」);

的setColor(3);

setbkcolor(綠色);

LineToDemo();}

主題:?繪畫,畫派與圓輪。 。

1程序分析:

2源代碼。

/ *循環* /

的#include「graphics.h的」

的main()

{詮釋驅動程序,模式,I;

持股量J = 1,K = 1;

驅動程序= VGA;模式= VGAHI;

initgraph(&驅動器,及模式,「」);

setbkcolor(黃色);

就(i = 0;我<= 25; i + +)

{

的setColor(8);

圓(310,250,K);

K = K + J;

當J = J +0.3;

}

}

主題:繪畫,學慣用線畫一條直線。

1程序分析:

2源代碼:..

的#include「graphics.h的」

的main()

{詮釋驅動程序,模式,I;

持股量X0,Y0,Y1,X1;

持股量J = 12,K;

驅動程序= VGA;模式= VGAHI;

initgraph(&驅動器,及模式,「」);

setbkcolor(綠色);

X0 = 263; Y0 = 263; Y1 = 275; X1 = 275;

就(i = 0; I <= 18; i + +)

{

的setColor(5);

線( X0,Y0,X0,Y1);

X0 = X0-5;

Y0 = Y0-5;

X 1 = X 5;

Y1 = Y1 5; 當J = J +10;

}

X0 = 263; Y1 = 275; Y0 = 263;

就(i = 0; I {

的setColor(5);

線(X0,Y0,X0,Y1);

X0 = X0 +5;

Y0 = Y0 +5; Y1 = Y1-5;

}

}

主題:素描,繪畫學校,一個長方形廣場。

1方案解析:100-999用於閉環控制數和各比特數分解,十,百。

2的源代碼:

的#include「graphics.h的」

的main()

{詮釋X0,Y0,Y1,X1,驅動程序,模式,I; 驅動程序= VGA;模式= VGAHI;

initgraph(&驅動器,及模式,「」);

setbkcolor(黃色);

X0 = 263; Y0 = 263; Y1 = 275; X1 = 275;

就(i = 0; I <= 18; i + +)

{

的setColor(1);

矩形(X0,Y0,X1 ,Y1);

X0 = X0-5;

Y0 = Y0-5;

X1 = X1 +5;

Y1 = Y1 +5;

} settextstyle(DEFAULT_FONT,HORIZ_DIR,2);

outtextxy(150,40,「好美啊!」);

線(130,60,480,60);

的setColor( 2);

圓(269269137);

}

主題:素描,全面的例子。 。

1程序分析:

2源代碼。

#定義PAI 3.1415926

#定義了B 0.809

的#include「graphics.h的」

的#include「math.h中」

的main()

{

INT I,J,K,X0,Y0,X,Y,驅動程序,方式;

浮起;

驅動程序= CGA;模式= CGAC0;

initgraph(&驅動器,&模式,「」);

的setColor(3);

setbkcolor(綠色);

X0 = 150; Y0 = 100;

圈(X0,Y0,10) ;

圈(X0,Y0,20);

圈(X0,Y0,50);

就(i = 0; I <16; i + +)

{

一=(2 * PAI/16)* I;

X = CEIL(X0 +48 * COS(a)條);

為y = CEIL(Y0 +48 *罪(一) * B);

的setColor(2);線(X0,Y0,X,Y);}

的setColor(3);圈(X0,Y0,60);

/ *使0時間正常大小字母* /

settextstyle(DEFAULT_FONT,HORIZ_DIR,0);

outtextxy(10,170,「按一鍵」);

的getch();

setfillstyle(HATCH_FILL ,黃色);

FLOODFILL(202,100,白色);

的getch();

為(K = 0,K <= 500,K +)

{的setColor(3);

就(i = 0; I <= 16; i + +)

{

一=(2 * PAI/16)* I +(2 * PAI / 180)* K;

X = CEIL(X0 +48 * COS(a)條);

為y = CEIL(Y0 +48 + SIN(A)* B);

的setColor( 2);線(X0,Y0,X,Y);

}

為(J = 1;<= 50; J + +)

{

為a =( 2 * PAI/16)* I +(2 * PAI/180)* k-1個;

X = CEIL(X0 48 * COS(一));

為y = CEIL(Y0 48 * SIN(A)* B);

線(X0,Y0,X,Y);

}

}

restorecrtmode();

} 主題:素描,全面的例子。 。

1程序分析:

2源代碼。

的#include「graphics.h的」

#定義左0

#定義TOP 0

#定義右639

#定義BOTTOM 479

#define語句400

#定義MAXCOLOR 15

的main()

{

整數驅動程序,模式,錯誤;

整數X1,Y1;

整數X2,Y2;

整數DX1,DY1,DX2,DY2,I = 1;

詮釋計數= 0;

整型色= 0;

驅動程序= VGA;

模式= VGAHI ;

initgraph(&驅動器,及模式,「」);

X 1 = X = Y1 = Y2 = 10;

DX1 = DY1 = 2;

DX2 = DY2 = 3 ;



{

線(X1,Y1,X2,Y2)(的kbhit()!);

X1 + = DX1; Y1 + = DY1;

X2 + = DX2; Y2 + DY2;

如果(X1 = RIGHT)

DX1 = - DX1;

如果(Y1 =底部)

DY1 =-DY1;

如果(X2 = RIGHT)

DX2 =-DX2;

如果(Y2 = BOTTOM)

DY2 =-DY2;

如果(+ +計數>線)

{

的setColor(彩色);

顏色=(顏色> = MAXCOLOR )0:? + +的顏色;

}

}

closegraph();
}

❺ 求大神幫我翻譯一下這一段FPGA的編程語言~ 關於VGA顯示的

entity vga is
Port ( clk : in STD_LOGIC;
clr : in STD_LOGIC;
hsync : out STD_LOGIC;
vsync : out STD_LOGIC;
RGB : out STD_LOGIC_VECTOR (2 downto 0));
end vga;
architecture Be

havioral of vga is
signal h_cnt,v_cnt : integer:=0; //中間變數申明;
signal q : std_logic_vector(2 downto 0) :="000";
signal h,v : std_logic :='0';
begin
process(clk,clr,h_cnt,v_cnt)
begin // 這個進程是對行和場的計數
if clr='1' then
h_cnt<=0; v_cnt<=0;
elsif clk'event and clk='1' then //時鍾信號上升沿有效
h_cnt<=h_cnt+1; //行計數
if h_cnt=1039 then
if v_cnt<665 then
h_cnt<=0; v_cnt<=v_cnt+1; //場計數
elsif v_cnt=665 then //一幀結束
h_cnt<=0; v_cnt<=0;
end if;
end if;
end if;
end process;

process(clk,h_cnt) 此進程對行同步信號進行賦值
begin
if clk'event and clk='1' then
if h_cnt<120 then
h<='1';
else h<='0';
end if;
end if;
end process;

process(clk,v_cnt) 此進程對場同步信號進行賦值
begin
if clk'event and clk='1' then
if v_cnt<6 then
v<='1';
else v<='0';
end if;
end if;
end process;

process(clk,clr,h_cnt,v_cnt)
begin
if clr='1' then
q<="000";
elsif (h_cnt>=184 and h_cnt<984 and v_cnt>=29 and v_cnt<629) then //工作區域
if (h_cnt>=184 and h_cnt<284) then //進行區域顏色賦值
q <= "000";
elsif (h_cnt>=284 and h_cnt<384) then
q <= "001";
elsif (h_cnt>=384 and h_cnt<484) then
q <= "010";
elsif (h_cnt>=484 and h_cnt<584) then
q <= "011";
elsif (h_cnt>=584 and h_cnt<684) then
q <= "100";
elsif (h_cnt>=684 and h_cnt<784) then
q <= "101";
elsif (h_cnt>=784 and h_cnt<884) then
q <= "110";
elsif (h_cnt>=884 and h_cnt<984) then
q <= "111";
else q<="000";
end if;
else q<="000";
end if;
end process;
RGB<=q; hsync<=h; vsync<=v;

end Behavioral;

❻ c語言 對VGA 編程。vga有哪些埠,功能是什麼

繪圖的初始化
gd 是 用開選擇圖形驅動
gm 是 選擇繪圖模式,就是解析度

gd=VGA 是選擇 VGA,現在基本沒有 非VGA顯卡了
另外,站長團上有產品團購,便宜有保證

❼ 現在電視無VGA介面RT809F怎麼寫程序

手動設置VGA線序,選擇晶元型號,然後讀取,最後保存。


編程器的VGA ISP介面通過VGA線和板子正確連接並接好供電,打開軟體到主界面,設置VGA線序。


在讀取完成以後,點擊「保存」按鈕,保存文件。填寫文件名稱時,文件名盡可能詳細,包含廠商、板號、屏型號、主晶元型號、存儲器型號。

閱讀全文

與VGA在線編程相關的資料

熱點內容
我的魂斗羅歸來怎麼安卓轉蘋果 瀏覽:150
iphone怎麼隱藏app內容 瀏覽:954
移動手機怎麼修改登錄密碼app 瀏覽:582
兩點間中點垂直線cad命令 瀏覽:32
dpdk編程開發 瀏覽:978
linux編輯文件退出命令 瀏覽:883
好看的網站 瀏覽:764
class版本為過高無法反編譯 瀏覽:467
vivo手機怎麼刪除app上的小鎖 瀏覽:462
泰國《永恆》未刪減 瀏覽:952
程序員小妹留學新加坡 瀏覽:459
app為什麼要做伺服器端app 瀏覽:608
華為電腦命令提示符在哪 瀏覽:80
法國啄木鳥網站入口 瀏覽:601
java的api怎麼打開 瀏覽:277
一本主角叫林楓的重生小說 瀏覽:809
超級靈魂解壓視頻 瀏覽:536
葉天明和柳韻 瀏覽:138
韓國愛情片在線觀看 瀏覽:745
h264壓縮工具 瀏覽:320