導航:首頁 > 編程語言 > pico的可編程引腳

pico的可編程引腳

發布時間:2024-04-01 06:15:59

❶ 如何用手機進行編程有哪些值得推薦的軟體

手機上可以編程的軟體其實有很多,有付費的也有免費的,這里簡單介紹幾個免費的手機編程軟體,主要分為C/C++、Java、python、Html和Linux5個方面,感興趣的朋友可以自己下載嘗試一下,主要內容如下:

這里介紹一個手機軟體—C++編譯器,可以直接編輯運行C/C++代碼,代碼高亮,自帶有語法檢查功能,使用起來非常不錯,下面我簡單介紹一下這個軟體:

1.首先,安裝C++編譯器,這個直接在手機應用商店中搜索就行,如下,大概也就2.6兆左右,直接下載安裝:

2.安裝完成後,我們就可以直接編寫C語言代碼了,效果如下,關鍵字高顯亮,還可以自動進行語法檢查:

自帶有簡單的TCC編譯器,可以直接編譯運行C語言程序,如下:

3.這里你也編寫C++程序,效果如下,只不過不能直接編譯運行,需要先安裝G++插件,才能正常運行:

這里介紹一個手機軟體—AIDE,相當於手機上的Java開發環境,可以直接編輯運行Java程序,還可以進行簡單的安卓開發,下面我簡單介紹一下這個軟體:

1.首先,安裝AIDE集成開發環境,這個也直接在手機商店中搜索就行,如下:

2.安裝完成後,我們直接新建Java項目,之後就可以直接編寫Java程序了,效果如下,這里支持語法提示、檢查和自動補全功能, 使用起來非常不錯:

點擊運行這個Java程序,效果如下:

3.這里也可以編寫一些簡單的安卓程序,效果如下,而且官方自帶有教學入門實例,非常適合初學者學習和掌握:

程序運行效果如下:

這里介紹一個手機軟體—QPython3,相當於手機上的Python3集成開發環境,自帶有Python3解釋器,支持命令行和文本編程兩種方式,下面我簡單介紹一下這個軟體:

1.首先,安裝QPython3,這個與上面的軟體一樣,直接在手機應用中搜索就行,如下:

2.安裝成功後,打開這個軟體,點擊主界面中的終端,就可以直接進入到python命令行,一行命令一行輸出,效果如下:

3.這里你也可以先使用QEdit編輯器編輯Python程序,然後直接點擊運行,效果是一樣的,如下:

這里介紹一個手機軟體—w3cschool編程學院,可以直接在線編輯和運行Html代碼,使用起來非常方便,下面我簡單介紹一下這個軟體:

1.首先,下載安裝w3cschool編程學院,這個也直接搜索就行,如下:

2.安裝成功後,打開這個軟體,直接搜索Html,就可以找到所有的Html資料和教程,這里我們點擊進去,就可以直接查看所有資料,如下:

每一章節都有詳細介紹和示例,非常適合初學者學習;

3.這里你也可以在線編輯運行Html代碼,非常方便,效果如下:

這里介紹兩個手機軟體—Termux和Termius,這兩個軟體都相當於手機上的Linux SSH客戶端,可以直接遠程連接Linux,執行相關操作,下面我簡單介紹一下這2個軟體:

1.首先,安裝Termux,這個直接搜索就行,如下,不大,直接下載安裝:

2.安裝完成後,打開這個軟體,我們就可以直接執行Bash命令,效果如下:

3.如果你需要遠程連接Linux的話,可以先安裝ssh命令,之後就可以遠程登錄Linux伺服器了,效果如下:

1.首先,安裝Termius,這個也直接搜索就行,如下:

2.打開這個軟體,默認會有一個本地的終端連接,可以執行簡單的shell操作,如下:

3.這里你也可以新建ssh連接,遠程連接Linux系統,非常方便,使用起來也很不錯:

至此,這里就介紹完了這5類手機編程軟體,感興趣的朋友可以在自己手機上下載嘗試一下,偶爾作為編程學習來說,還是非常不錯的,但是實際開發學習的話,建議還是在電腦上進行,調試運行程序更方便,希望以上分享的內容能對你有所幫助吧,也歡迎大家留言交流。

Android的底層是Linux,理論上Android是具有編程完備性的,只不過Android在Linux kennel上加了一層Android運行環境,這一層環境是虛擬機,再加上Android本質上是在移動平台,編程功能就削弱了。iOS的本質是Unix,最早的iPhone甚至是直接運行的Mac OS X,因此iOS同樣也具有編程完備性。

不過在正式回答這個問題之前我不得不說一句,倘若你是想把編程作為一個興趣,你當然可以在手機上寫寫代碼,反過來你要把編程作為以後吃飯的事業,或者說想要在編程方面取得一個比較大的成就,你還是應該選擇用電腦編程。

這一款工具你一定要熟悉,這是Android上的一款神器。

Termux可以幫助你在Android上搭建完備的Linux環境,你可以理解為類似Windows上的Cygwin,它並非是Linux上的虛擬機環境,並且安裝包只有幾百KB而已。

你可以安裝python環境,甚至安裝Linux上的很多包,這款工具還支持ssh、ftp等眾多連接方式。

C4droid是Android平台傷的一款C/C++集成開發環境,默認支持tcc編譯器,可以選擇安裝gcc插件,這款編程工具可以用SDL簡單媒體層庫,也支持qt開發,甚至還可以開發native Android app開發,功能還是比較全的。

網路貼吧有一些用戶發布了比較新的版本,默認使用g++編譯器。

這是Android上功能強大、但是使用簡單的python集成開發環境,python這門編程語言的缺點是怎麼寫都行,不過優點也是怎麼寫也可以,隨著人工智慧的爆發,python已經成為了一門非常重要的編程語言。

全功能的終端模擬器,pip包管理器,內置了C/C++眾多庫,用起來非常方便,順便提醒一下的是,這款軟體的作者跟C4droid是同一個。

這個功能還是比較強大的,可以在Android系統上進行app和 游戲 開發,也是一個具有編寫、編譯、調試的全功能的集成開發環境。

這款集成開發環境支持錯誤檢查、代碼重構、智能代碼導航、生成apk文件,並且可以直接將生成的apk文件進行安裝調試。AIDE還完全兼容eclipse項目,你只需要把代碼復制到你的AIDE中,就可以繼續寫代碼。

AIDE有兩個版本,一個是面向本地開發的AIDE常規版本,一個是面向網路開發的AIDE Web,主要支持的編程語言有C++/Java/JavaScript等。

當然在iOS平台上也有C/C++集成開發環境,Pico Compiler等編程工具,不過目前在編程工具方面Android平台更加開放,一些開發者也更願意放一些這些工具上去。不過還是那句話,如果你想學好編程,建議還是到PC上安裝相應的開發環境操作,屏幕更大、IDE功能也更多更全,電腦鍵盤敲代碼也比手機上小鍵盤敲代碼舒服得多。

這里介紹幾個可以在手機上編程的軟體,感興趣的可以下載一下,試著操作一下:

1.Python:這里推薦一個軟體—QPython3,集成了Python3解釋器、Console控制台和QEdit編輯器,可以直接編輯運行python代碼,也可以進行簡單的安卓開發,下面我簡單介紹一下這個軟體的安裝和使用:

安裝的話,直接在手機應用中搜索就行,如下:

圖1

❷ pico鏄浠涔堟剰鎬濇眰鑱岋紵

pico鏄浠涔堟剰鎬濓紵闅忕潃浜掕仈緗戞椂浠g殑鍒版潵錛岃蔣浠跺拰鎶鏈鐨勫揩閫熷彂灞曪紝pico鎴愪負浜嗚$畻鏈洪嗗煙鐨勪竴涓鏂拌瘝奼囥傜畝鍗曟潵璇達紝pico鎰忓懗鐫灝忓瀷鍖栵紝涔熷氨鏄鎸囦綋縐灝忎絾鍔熻兘榻愬叏鐨勮$畻鏈虹郴緇熴傚畠鐨勫嚭鐜版柟渚誇簡鐢ㄦ埛鐨勬惡甯﹀拰浣跨敤錛屾槸鏈鏉ヨ$畻鏈哄彂灞曠殑涓涓閲嶈佹柟鍚戙

榪戝勾鏉ワ紝pico鐨勫簲鐢ㄨ寖鍥翠笉鏂鎵╁ぇ錛屽寘鎷浜嗘櫤鑳藉跺眳緋葷粺銆佸尰鐤楀櫒姊般佸彲絀挎埓璁懼囩瓑棰嗗煙銆傚悓鏃訛紝闅忕潃澶ф暟鎹鍜屼漢宸ユ櫤鑳芥妧鏈鐨勮繀閫熷彂灞曪紝pico鎶鏈鏈夋湜涓轟漢浠甯︽潵鏇翠負渚挎嵎鍜岄珮鏁堢殑鐢熸椿鏂瑰紡銆傚洜姝わ紝奼傝亴鑰呭簲璇ョН鏋佸︿範pico鐩稿叧鎶鏈錛屼互婊¤凍鏈鏉ュ競鍦虹殑闇奼傘

瀵逛簬鎯寵佸湪pico棰嗗煙奼傝亴鐨勪漢鏉ヨ達紝闇瑕佸叿澶囨墡瀹炵殑璁$畻鏈烘妧鏈鍩虹鍜岀啛緇冪殑緙栫▼鎶鑳姐傚悓鏃訛紝瑕佸叿澶囪緝寮虹殑鍒涙柊鑳藉姏鍜屽洟闃熷崗浣滄剰璇嗭紝浠ヤ究鍦ㄩ珮搴︾珵浜夌殑甯傚満涓鑴遍栬屽嚭銆傞殢鐫涓鍥藉壋鏂板彂灞曟垬鐣ョ殑鎺ㄨ繘錛宲ico鐩稿叧宀椾綅闇奼傝秺鏉ヨ秺澶э紝鐩鎬俊瀵逛簬綺鵑歱ico鎶鏈鐨勬眰鑱岃呮潵璇達紝鏈鏉ュ皢浼氭湁鏇村姞騫塊様鐨勫彂灞曠┖闂淬

❸ python能做嵌入式嗎

近些年來Python非常流行,Python是一種面向對象的解釋型計算機程序設計語言,Python語法簡潔清晰,易讀性以及可擴展性,Python具有豐富和強大的庫,能夠把用其他語言製作的各種模塊(尤其是C/C++)很輕松地聯結在一起,常被稱為膠水語言,可以應用在圖形處理,數學處理,Web編程,多媒體應用等領域。定位(推薦學習:Python視頻教程)
在過去的這么長時間里,在嵌入式開發領域中開發語言以C/C++為主,如今基於Python的MicroPython已經涉入到該領域中,MicroPython是一位叫Damien George的工程師,基於ANSI C(C語言標准),然後在語法上又遵循了Python的規范,主要是為了能在嵌入式硬體上(這里特指微控制器級別)更易於的實現對底層的操作,MicroPython官方提供的硬體開發板叫PyBoard,除了官方提供的開發板外,還有一些嵌入式硬體也被成功移植了MicroPython,例如:Esp8266,WiPy,Espruino Pico,STM32F4 Discovery等。
MicroPython pyboard是一個緊湊的電子電路板,在裸機上運行MicroPython,為您提供一個低級Python操作系統,可用於控制各種電子項目。
MicroPython包含許多高級功能,如互動式提示,任意精度整數,閉包,列表理解,生成器,異常處理等。然而它非常緊湊,可以在256k的代碼空間和16k的RAM內運行。
MicroPython旨在盡可能與普通Python兼容,以便您輕松地將代碼從桌面傳輸到微控制器或嵌入式系統。
pyboard是官方的MicroPython微控制器板,完全支持軟體功能。硬體有:
STM32F405RG單片機
具有硬體浮點的168 MHz Cortex M4 CPU
1024KiB快閃記憶體ROM和192KiB RAM
Micro USB連接器,用於電源和串列通信
Micro SD卡插槽,支持標准和高容量SD卡
3軸加速度計(MMA7660)
帶可選備用電池的實時時鍾
左側和右側邊緣為24 GPIO,底行為5 GPIO,底部有LED和開關GPIO
3個12位模數轉換器,16引腳,4個模擬地屏蔽
2x 12位數模轉換器(DAC),可在引腳X5和X6上使用
4個LED(紅色,綠色,黃色和藍色)
1個復位和1個用戶開關
板載3.3V LDO穩壓器,能夠提供高達250mA的電壓,輸入電壓范圍為3.6V至16V
ROM中的DFU引導載入程序,可輕松升級固件
Python本來就是非常容易入門的語言,同時也是應用廣泛的語言,MicroPython將這用容易學習和使用的語言帶到了嵌入式開發中,可以直接操作硬體,並且不需要編譯和下載,可以快速的看到程序的結果,這是非常好的體驗。PyBoard精緻小巧是一塊漂亮的開發板,可以方便的學習和開發,另外MicroPython也支持更多的平台,例如:STM32F4 Discovery Board,NUCLEO-F401RE Board,NUCLEO-F767ZI Board,ESP8266,WiPy等,以上的這些開發板都可以支持MicroPython,在開發板內刷上固件就可以使用了。MicroPython帶來了全新的開發體驗,有興趣的同學可以試試。
更多Python相關技術文章,請訪問Python教程欄目進行學習!以上就是小編分享的關於python能做嵌入式嗎的詳細內容希望對大家有所幫助,更多有關python教程請關注環球青藤其它相關文章!

❹ FPGA設計指南:器件、工具和流程的目錄

第1章概論
1.1什麼是FPGA
1.2FPGA為什麼令人感興趣
1.3FPGA的用途
1.4本書內容
1.5本書不包括什麼
1.6讀者對象
第2章基本概念
2.1FPGA的核心
2.2簡單的可編程功能
2.3熔絲連接技術
2.4反熔絲技術
2.5掩模編程器件
2.6PROM
2.7基於EPROM的技術
2.8基於EEPROM的技術
2.9基於快閃記憶體的技術
2.10基於SRAM的技術
2.11小結
第3章FPGA的起源
3.1相關的技術
3.2晶體管
3.3集成電路
3.4SRAM/DRAM和微處理器
3.5SPLD和CPLD
3.5.1PROM
3.5.2PLA
3.5.3PAL和GAL
3.5.4其他可編程選擇
3.5.5CPLD
3.5.6ABEL、CUPL、PALASM、JEDEC等
3.6專用集成電路(門陣列等)
3.6.1全定製
3.6.2Micromatrix和Micromosaic
3.6.3門陣列
3.6.4標准單元器件
3.6.5結構化ASIC
3.7FPGA
3.7.1FPGA平台
3.7.2FPGA-ASIC 混合
3.7.3FPGA廠商如何設計晶元
第4章FPGA結構的比較
4.1一點提醒
4.2一些背景信息
4.3反熔絲與SRAM與其他
4.3.1基於SRAM的器件
4.3.2以SRAM為基礎器件的安全問題和解決方案
4.3.3基於反熔絲的器件
4.3.4基於EPROM的器件
4.3.5基於E2PROM/FLASH的器件
4.3.6FLASH-SRAM混合器件
4.3.7小結
4.4細粒、中等微粒和粗粒結構
4.5MUX與基於LUT的邏輯塊
4.5.1基於MUX的結構
4.5.2基於LUT的結構
4.5.3基於MUX還是基於LUT
4.5.43、4、5或6輸入LUT
4.5.5LUT與分布RAM與SR
4.6CLB、LAB與slices
4.6.1Xlilinx 邏輯單元
4.6.2Altera邏輯部件
4.6.3slicing和dicing
4.6.4CLB和LAB
4.6.5分布RAM和移位寄存器
4.7快速進位鏈
4.8內嵌RAM
4.9內嵌乘法器、加法器、MAC等
4.10內嵌處理器核(硬的和軟的)
4.10.1硬微處理器核
4.10.2軟微處理器核
4.11時鍾樹和時間管理器
4.11.1時鍾樹
4.11.2時鍾管理器
4.12通用I/O
4.12.1可配置I/O標准
4.12.2可配置I/O阻抗
4.12.3核與I/O電壓
4.13吉比特傳輸
4.14硬IP、軟IP和固IP
4.15系統門與實際的門
4.16FPGA年
第5章FPGA編程(配置)
5.1引言
5.2配置文件
5.3配置單元
5.4基於反熔絲的FPGA
5.5基於SRAM的FPGA
5.5.1迅速的過程欺騙了眼睛
5.5.2對嵌入式(塊)RAM、分布RAM編程
5.5.3多編程鏈
5.5.4器件的快速重新初始化
5.6使用配置埠
5.6.1FPGA作為主設備串列下載
5.6.2FPGA作為主設備並行下載
5.6.3FPGA作為從設備並行下載
5.6.4FPGA作為從設備串列下載
5.7使用JTAG埠
5.8使用嵌入式處理器
第6章誰在參與游戲
6.1引言
6.2FPGA和FPAA提供商
6.3FPNA 提供商
6.4全線EDA提供商
6.5專業FPGA和獨立EDA提供商
6.6使用專門工具的FPGA設計顧問
6.7開源、免費和低成本的設計工具
第7章FPGA與ASIC設計風格
7.1引言
7.2編碼風格
7.3流水線和邏輯層次
7.3.1什麼是流水線
7.3.2電子系統中的流水線
7.3.3邏輯層次
7.4非同步設計實踐
7.4.1非同步結構
7.4.2組合迴路
7.4.3延遲鏈
7.5時鍾考慮
7.5.1時鍾域
7.5.2時鍾平衡
7.5.3門控時鍾與使能時鍾
7.5.4PLL和時鍾調節電路
7.5.5跨時鍾域數據傳輸的可靠性
7.6寄存器和鎖存器考慮
7.6.1鎖存器
7.6.2具有「置位」和「復位」輸入的觸發器
7.6.3全局復位和初始化條件
7.7資源共享(時分復用)
7.7.1使用它或者放棄它
7.7.2其他內容
7.8狀態機編碼
7.9測試方法學
第8章基於原理圖的設計流程
8.1往昔的時光
8.2EDA初期
8.2.1前端工具,如邏輯模擬
8.2.2後端工具如版圖設計
8.2.3CAE + CAD = EDA
8.3簡單的原理圖驅動ASIC設計流程
8.4簡單(早期)的原理圖驅動FPGA設計流程
8.4.1映射
8.4.2包裝
8.4.3布局和布線
8.4.4時序分析和布局布線後模擬
8.5 平坦的原理圖與分層次的原理圖
8.5.1沉悶的扁平原理圖
8.5.2分等級(基於模塊)的原理圖
8.6今天的原理圖驅動設計流程
第9章基於HDL的設計流程
9.1基於原理圖流程的問題
9.2基於HDL設計流程的出現
9.2.1不同的抽象層次
9.2.2早期基於HDL的ASIC設計流程
9.2.3早期基於HDL的FPGA設計流程
9.2.4知道結構的FPGA流程
9.2.5邏輯綜合與基於物理的綜合
9.3圖形設計輸入的生活
9.4絕對過剩的HDL
9.4.1Verilog HDL
9.4.2VHDL和VITAL
9.4.3混合語言設計
9.4.4UDL/I
9.4.5Superlog 和 SystemVerilog
9.4.6SystemC
9.5值得深思的事
9.5.1擔心,非常擔心
9.5.2串列與並行多路復用器
9.5.3小心鎖存器
9.5.4聰明地使用常量
9.5.5資源共用考慮
9.5.6還有一些不可忽視的內容
第10章FPGA設計中的硅虛擬原型
10.1什麼是硅虛擬原型
10.2基於ASIC的SVP方法
10.2.1門級SVP(由快速綜合產生)
10.2.2門級SVP(由基於增益的綜合產生)
10.2.3團簇SVP
10.2.4基於RTL的SVP
10.3基於FPGA的SVP
10.3.1互動式操作
10.3.2增量式布局布線
10.3.3基於RTL的FPGASVP
第11章基於C/C++等語言的設計流程
11.1 傳統的HDL設計流程存在的問題
11.2 C對C++與並行執行對順序執行
11.3 基於SystemC的設計流程
11.3.1 什麼是SystemC以及它從哪裡來
11.3.2 SystemC 1.0
11.3.3 SystemC 2.0
11.3.4 抽象級
11.3.5 基於SystemC設計流程的可選方案
11.3.6要麼喜愛它,要麼討厭它
11.4基於增強型C/C++的設計流程
11.4.1什麼是增強型C/C++
11.4.2可選擇的增強型C/C++設計流程
11.5基於純C/C++的設計流程
11.6綜合的不同抽象級別
11.7混合語言設計和驗證環境
第12章基於DSP的設計流程
12.1DSP簡介
12.2可選擇的DSP實現方案
12.2.1隨便選一個器件,不過不要讓我看到是哪種器件
12.2.2系統級評估和演算法驗證
12.2.3在DSP內核中運行的軟體
12.2.4專用DSP硬體
12.2.5與DSP相關的嵌入式FPGA資源
12.3針對DSP的以FPGA為中心的設計流程
12.3.1專用領域語言
12.3.2系統級設計和模擬環境
12.3.3浮點與定點表示
12.3.4系統/演算法級向RTL的轉換(手工轉換)
12.3.5系統/演算法級向RTL的轉換(自動生成)
12.3.6系統/演算法級向C/C++的轉換
12.3.7模塊級IP環境
12.3.8別忘了測試平台
12.4DSP與VHDL/Verilog混合設計環境
第13章基於嵌入式處理器的設計流程
13.1引言
13.2硬核與軟核
13.2.1硬核
13.2.2微處理器軟核
13.3將設計劃分為硬體和軟體部分
13.4硬體和軟體的世界觀
13.5利用FPGA作為自身的開發環境
13.6增強設計的可見性
13.7其他一些混合驗證方法
13.7.1RTL(VHDL或Verilog)
13.7.2C/C++、SystemC等
13.7.3硬體模擬器中的物理晶元
13.7.4指令集模擬器
13.8一個相當巧妙的設計環境
第14章模塊化設計和增量設計
14.1將設計作為一個大的模塊進行處理
14.2將設計劃分為更小的模塊
14.2.1模塊化設計
14.2.2增量設計
14.2.3存在的問題
14.3總有其他辦法
第15章高速設計與其他PCB設計注意事項
15.1開始之前
15.2我們都很年輕,因此
15.3變革的時代
15.4其他注意事項
15.4.1高速設計
15.4.2信號完整性分析
15.4.3SPICE與IBIS
15.4.4起動功率
15.4.5使用內部末端阻抗
15.4.6串列或並行處理數據
第16章觀察FPGA的內部節點
16.1缺乏可見性
16.2使用多路復用技術
16.3專用調試電路
16.4虛擬邏輯分析儀
16.5虛擬線路
16.5.1問題描述
16.5.2虛擬線路解決方案
第17章IP
17.1IP的來源
17.2人工優化的IP
17.2.1未加密的RTL級IP
17.2.2加密的RTL級IP
17.2.3未經布局布線的網表級IP
17.2.4布局布線後的網表級IP
17.3IP核生成器
17.4綜合資料
第18章ASIC設計與FPGA設計之間的移植
18.1可供選擇的設計方法
18.1.1隻做FPGA設計
18.1.2FPGA之間的轉換
18.1.3FPGA到ASIC的轉換
18.1.4ASIC到FPGA的轉換
第19章模擬、綜合、驗證等設計工具
19.1引言
19.2模擬(基於周期、事件驅動等)
19.2.1什麼是事件驅動邏輯模擬器
19.2.2事件驅動邏輯模擬器發展過程簡述
19.2.3邏輯值與不同邏輯值系統
19.2.4混合語言模擬
19.2.5其他延遲格式
19.2.6基於周期的模擬器
19.2.7選擇世界上最好的邏輯模擬器
19.3綜合(邏輯/HDL綜合與物理綜合)
19.3.1邏輯/HDL綜合技術
19.3.2物理綜合技術
19.3.3時序重調、復制及二次綜合
19.3.4選擇世界上最好的綜合工具
19.4時序分析(靜態與動態)
19.4.1靜態時序分析
19.4.2統計靜態時序分析
19.4.3動態時序分析
19.5一般驗證
19.5.1驗證IP
19.5.2驗證環境和創建testbench
19.5.3分析模擬結果
19.6形式驗證
19.6.1形式驗證的不同種類
19.6.2形式驗證究竟是什麼
19.6.3術語及定義
19.6.4其他可選的斷言/屬性規范技術
19.6.5靜態形式驗證和動態形式驗證
19.6.6各種語言的總結
19.7混合設計
19.7.1HDL語言到C語言的轉換
19.7.2代碼覆蓋率
19.7.3性能分析
第20章選擇合適的器件
20.1豐富的選擇
20.2要是有選型工具就好了
20.3工藝
20.4基本資源和封裝
20.5通用I/O介面
20.6嵌入式乘法器、RAM等
20.7嵌入式處理器核
20.8吉比特I/O能力
20.9可用的IP
20.10速度等級
20.11輕松的註解
第21章吉比特收發器
21.1引言
21.2差分對
21.3多種多樣的標准
21.48bit/10bit編碼等
21.5深入收發器模塊內部
21.6組合多個收發器
21.7可配置資源
21.7.1逗號檢測
21.7.2差分輸出擺幅
21.7.3片內末端電阻
21.7.4預加重
21.7.5均衡化
21.8時鍾恢復、抖動和眼圖
21.8.1時鍾恢復
21.8.2抖動和眼圖
第22章可重配置計算
22.1可動態重配置邏輯
22.2可動態重配置互連線
22.3可重配置計算
第23章現場可編程節點陣列
23.1引言
23.2演算法評估
23.3picoChip公司的picoArray技術
23.3.1一個理想的picoArray應用:無線基站
23.3.2picoArray設計環境
23.4QuickSilver公司的ACM技術
23.4.1設計混合節點
23.4.2系統控制器節點、輸入輸出節點及其他節點
23.4.3空間與時間分割
23.4.4在ACM上創建和運行程序
23.4.5還有更多的內容
23.5這就是硅,但與我們知道的並不相同
第24章獨立的設計工具
24.1引言
24.2ParaCore Architect
24.2.1產生浮點處理功能模塊
24.2.2產生FFT功能模塊
24.2.3基於網路的介面
24.3Confluence系統設計語言
24.3.1一個簡單的例子
24.3.2還有更多的功能
24.3.3免費評估版本
24.4你是否具有這種工具
第25章創建基於開源的設計流程
25.1如何白手起家創辦一家FPGA設計工作室
25.2開發平台:Linux
25.3驗證環境
25.3.1Icarus Verilog
25.3.2Dinotrace和GTKWave
25.3.3Covered代碼覆蓋率工具
25.3.4Verilator
25.3.5Python
25.4形式驗證
25.4.1開源模型檢查
25.4.2基於開源的自動推斷
25.4.3真正的問題是什麼
25.5訪問公共IP元件
25.5.1OpenCores
25.5.2OVL
25.6綜合與實現工具
25.7FPGA開發板
25.8綜合材料
第26章FPGA未來的發展
26.1一種擔憂
26.2下一代結構和技術
26.2.1十億晶體管級器件
26.2.2超快速I/O
26.2.3超快速配置
26.2.4更多的硬IP
26.2.5模擬與混合信號器件
26.2.6ASMBL與其他結構
26.2.7不同的結構粒度
26.2.8ASIC結構中的嵌入式FPGA內核
26.2.9ASIC和FPGA結構中嵌入FPNA內核或者相反
26.2.10基於MRAM的器件
26.3設計工具
26.4期待意外的發生
附錄
附錄A 信號完整性簡介
附錄B深亞微米延遲效應
附錄C線性移位寄存器

閱讀全文

與pico的可編程引腳相關的資料

熱點內容
java頁面開發 瀏覽:814
學編程的小發明 瀏覽:23
為什麼說程序員喜歡格子 瀏覽:253
代碼編譯後叫什麼 瀏覽:969
電腦文件夾做了保護怎麼刪除 瀏覽:678
php資料庫連接全局 瀏覽:528
葫蘆島有程序員嗎 瀏覽:986
小胖機器人顯示無命令 瀏覽:775
一日一畫pdf 瀏覽:97
編程貓拔蘿卜文字評價模板 瀏覽:252
cmdjava命令 瀏覽:237
掃描版pdf轉文字版 瀏覽:534
單片機專用寄存器 瀏覽:499
學習python的手冊 瀏覽:676
vue編譯成js文件 瀏覽:90
給單片機供電的電池 瀏覽:341
什麼app是分享教育的 瀏覽:899
可視化編程java 瀏覽:83
人工智慧溫控器演算法 瀏覽:377
大號文件夾多少錢一個 瀏覽:573