導航:首頁 > 操作系統 > 基於單片機的電熱毯設計

基於單片機的電熱毯設計

發布時間:2023-06-02 15:14:50

『壹』 基於單片機的熱水器溫度控制系統

東華理工大學畢業設計(論文)

基於單片機的熱水器溫度控制

摘 要

溫度是日常生活中不可缺少的物理量,溫度在各個領域都有積極的意義。很多行業中以及日常生活中都有大量的用電加熱設備,如用於加熱處理的加熱熱水器,用於洗浴的電熱水器及各種不同用途的溫度箱等,採用單片機對它們進行控制具有控制方便、簡單、靈活性大等特點,而且還可以大幅提高被控系統的性能,從而能被大大提高產品的質量。因此,智能化溫度控制技術正被廣泛地應用。

本溫度設計採用現在流行的AT89C51單片機為控制器,用PID控制方法,再配以其他電路對熱水器的水溫進行控制。

關鍵詞:89C51; PID; 溫度控制

I

1/41頁
東華理工大學畢業設計(論文)

ABSTRACT

Temperature is essential physical in daily life ,and in various fields has positive implications.A lot of businesses and daily lives have a lot of electric heating equipment.Such as electric water heater for bathing and variety of different uses of the temperature boxes. MCU to control them with easy to control,simple,flexibility and other characteristics,also can significantly improve the performance of the controlled system,which can be greatly improved proct quality. Therefore,intelligent temperature control technology is being widely used.

The temperature control design uses the now popular AT89C51 MCU controller,with PID control method, which together with

『貳』 求洗腳盆足浴盆基於單片機設計的軟體說明書,軟體設計思路和實現的方法。

不求你的分,知識大致說一下流程,程序還是自己寫吧,硬體和感測器我熟一點大概說一下要點。

洗腳盆是很簡單的電子設備結構簡單一般不需要單片機來處理,但是假設需要用液晶或者LED來顯示溫度和定時時間,使用單片機較為簡單。

1、臭氧只能靠臭氧發生器來產生,這個沒有辦法檢測,可以線性調節(其實沒必要調節,只存在開或關兩種狀態即可)。

2、氣泡原理是個直流空氣泵,類似於魚缸里那種,可以線性調節(其實沒必要調節,只存在開或關兩種狀態即可)。

3、加熱有幾種方案,一種是電熱線(類似於電熱毯),還有就是陶瓷加熱,都是阻性原件,都可以線性調節,但是一般都是用溫度開關控制,如果使用單片機控制,可以選擇通斷控制和線性控制兩種。

4、還有一種是震動按摩,其實就是個離心直流或交流電機,可以選擇通斷控制或線性控制。

5、檢測溫度,阻性溫度感測器不管是正溫度還是負溫度或者數字感測器比如18B20都行,如果是數字原件,單片機比較方便檢測,就不用模數轉換了,但是成本略高,或者你使用自帶模數轉換的單片機。

6、定時使用單片機的計時器定時,LED液晶顯示溫度和時間較為方便。如果是8字形乘4位的一個就夠了,一半顯示溫度一半顯示時間(分鍾),不過需要一片74h573鎖存器(如果使用的單片機IO口夠多至少兩個8位也可以不用這個鎖存器)。

設備的控制:

7、發熱元件,震動按摩電機,臭氧發生器這些交流供電部件都能工作在線性模式,都可以使用雙向晶閘管(這管子有點貴,要幾塊錢,但這不算最貴的),然後需要一個數字電阻器(這個才貴)來控制晶閘管實現控制電壓實現線性控制的目的,但是通過程序來控制並不簡單,如果僅僅是控制開關那就便宜了,只需要幾個功率開關管(便宜)就能實現同過io口輸出或輸入高電平或者低電平控制開關的目的,當然就不能實現調整大小速度的功能了。


溫度感測器還是用數字的好,方便連接檢測。

最後附一個控制LED數碼管的圖,51單片機,為了控制兩個所以用了兩片74H573(用這東西另一方面也是為了電路簡單和程序簡單方便控制)。

顯示設備推薦用數碼管,最重要的原因就是全密封防水防潮,電熱水器都是用這東西。




『叄』 基於MCS-51單片機的精密溫度控制系統的設計與實現

上傳內容
僅供學習與參考

摘要
本檢測系統硬體設計以AT89C51單片機為核心,用溫度感測器DS18B20實現溫度控制,用數碼管顯示實際溫度和預設溫度,製作數字溫度計,並可以實現溫度預警控制。
單片機系統的軟體編程採用單片機匯編進行編程。應用軟體採用KEIL和PROTEUS模擬軟體模擬實現控制過程。
溫度控制系統是基於單片機的計算機檢測技術的軟硬體開發和面向對象的高級可視化程序開發的有機結合。對溫度控制的發展有很大的好處。如果投入生產,不僅會創造良好的經濟效益,還可提高溫控的簡單化。

關鍵詞 單片機;DS18B20;調節;溫度
Abstract
This examination system hardware design take at89C51 monolithic integrated circuit as a core, realizes the temperature control with temperature sensor DS18B20, Demonstrates the actual temperature and the preinstall temperature with the nixie tube,manufactures the simple intelligence temperature control system - - digit thermometer,And may realize the temperature early warning control.
. The monolithic integrated circuit system's software programming uses the monolithic integrated circuit assembly to carry on the programming. The superior machine application software uses KEIL and the PROTEUS simulation software simulation realizes the controlled process.
This article develops the intelligence temperature control system is based on monolithic integrated circuit's computer examination technology software and hardware development and face the object high-level visualization procere development organic synthesis. Has the very big advantage to temperature control's development. If place in operation, not only will create the good economic efficiency, but may also propose the simplification which the high temperature will control.
Keywords microcontroller;DS18B20;measure;temperture

目錄
摘要 I
Abstract II
第1章 緒論 4
1.1 溫度感測器發展概述 4
1.2 單片機技術簡介 4
1.3 溫度檢測技術的發展 5
第2章 溫度感測器的選擇 8
2.1 測溫方法 8
2.2 DS18B20簡介 9
第3章 軟硬體設計 10
3.1 單片機的選擇 10
3.2 溫度感測器的選擇 10
3.3 模擬軟體的選擇 11
3.4 編譯軟體的選擇 11
3.5 PROTEUS 模擬電路圖 12
第4章 匯編語言程序 13
4.1 主程序和溫度值轉換成顯示值子程序的流程圖 13
4.2 DS18B20溫度子程序和顯示子程序的流程圖 14
4.3 匯編語言源程序 14
第1章 緒論
1.1 溫度感測器發展概述(略)

1.2 單片機技術簡介(略)

1.3 溫度檢測技術的發展(略)

第2章 溫度感測器的選擇
2.1 測溫方法
溫度是一個很重要的物理參數,鋼鐵的冶煉、石油的分餾、塑料的合成以
及農作物的生長等等都必須在一定的溫度范圍內進行,各種構件、材料的體積、電阻、強度以及抗腐蝕等物理化學性質,一般也都會隨溫度而變化。人們利用各種能源為人類服務,也往往是使某些介質通過一定的溫度變化來實現的。所以在生產和化學試驗中,人們經常會碰到溫度測量的問題。
溫度感測器是檢測溫度的器件,其種類最多,應用最廣,『發展最快。眾所周知,日常使用的材料及電子元件大部分都隨溫度而變化,資料【5】中介紹了作為實用感測器必須滿足的一些條件:
(1)在使用溫度范圍內溫度特性曲線要求達到的精度能符合要求:為了能
在較寬的溫度范圍內進行檢測,溫度系數不宜過大,過大了就難以使用,但對
於狹窄的溫度范圍或僅僅定點的檢測,其溫度系數越大,檢測電路也能越簡單。
(2)為了將它用於電子線路的檢測裝置,要具有檢測便捷和易於處理的特
性。隨著半導體器件和信號處理技術的進步,對溫度感測器所要求的輸出特性
應能滿足要求。
(3)特性的偏移和蠕變越小越好,互換性要好。
(4)對於溫度以外的物理量不敏感。
(5)體積小,安裝方便:為了能正確地測量溫度,感測器的溫度必須與被
測物體的溫度相等。感測器體積越小,這個條件越能滿足。
(6)要有較好的機械、化學及熱性能。這對於使用在振動和有害氣體的環
境中特別重要。
(7)無毒、安全以及價廉、維修、更換方便等。
溫度測量的方法很多,根據溫度感測器的使用方式,通常分為接觸式測溫
法與非接觸式測溫法兩類。
(1)接觸式測溫法
由熱平衡原理可知,兩個物體接觸後,經過足夠長時間的熱交換達到熱平
衡,則它們的溫度必然相等。如果其中之一為溫度計,就可以用它對另一個物體實現溫度測量,這種測溫方式稱為接觸式測溫法。接觸式測溫的優點顯而易
見,它簡單,可靠,測量精度高,但同時也存在不足:溫度計要與被測物體有
良好的熱接觸,並充分換熱,從而產生了測溫滯後現象;測溫組件可能與被測
物體發生化學反應;由於受到耐高溫材料的限制,接觸式測溫儀表不可能應用
於很高溫度的測量。
(2)非接觸式測溫法
由於測量組件與被測物體不接觸,利用物體的熱輻射能隨溫度變化的原理
測定物體溫度。因而測量范圍原則上不受限制,測溫速度較快,還可以在運動
中測量。這種測溫方式稱為非接觸式測溫法。它的特點是:不與被測物體接觸,也不改變被測物體的溫度分布,熱慣性小。從原理上看,用這種方法測溫無上限。通常用來測定1000℃以上的移動、旋轉或反應迅速的高溫物體的溫度或表面溫度。
2.2 DS18B20簡介
2.2.1技術性能描述
單線介面方式,DS18B20在與微處理器連接時僅需要一條口線即可實現微處理器與DS18B20的雙向通訊。測溫范圍 -55℃~+125℃,固有測溫解析度0.5℃。支持多點組網功能,多個DS18B20可以並聯在唯一的三線上,實現多點測溫。工作電源: 3~5V直流電源。
在使用中不需要任何外圍元件,測量結果以9~12位數字量方式串列傳送。適用於DN15~25, DN40~DN250各種介質工業管道和狹小空間設備測溫。
2.2.2應用范圍
該產品適用於冷凍庫,糧倉,儲罐,電訊機房,電力機房,電纜線槽等測溫和控制領域,軸瓦,缸體,紡機,空調,等狹小空間工業設備測溫和控制。
2.2.3接線說明
特點有一線介面,只需要一條口線通信 多點能力,簡化了分布式溫度感測應用 無需外部元件 可用數據匯流排供電,電壓范圍為3.0 V至5.5 V 無需備用電源 測量溫度范圍為-55 ° C至+125 ℃ 。華氏相當於是-67 ° F到257華氏度 -10 ° C至+85 ° C范圍內精度為±0.5 ° C。
溫度感測器可編程的解析度為9~12位 溫度轉換為12位數字格式最大值為750毫秒 用戶可定義的非易失性溫度報警設置 應用范圍包括恆溫控制,工業系統,消費電子產品溫度計,或任何熱敏感系統描述該DS18B20的數字溫度計提供9至12位(可編程設備溫度讀數。信息被發送到/從DS18B20 通過1線介面,所以中央微處理器與DS18B20隻有一個一條口線連接。為讀寫以及溫度轉換可以從數據線本身獲得能量,不需要外接電源。 因為每一個DS18B20的包含一個獨特的序號,多個DS18B20可以同時存在於一條匯流排。這使得溫度感測器放置在許多不同的地方。它的用途很多,包括空調環境控制,感測建築物內溫設備或機器,並進行過程監測和控制。【6】

第3章 軟硬體設計
3.1 單片機的選擇
單片機系統由單片機AT89C51、74HC245等晶元構成,完成數據採集、處理、通訊以及所有的功能,是整個系統的核心模塊。
單片機是整個系統的核心,對系統起監督、管理、控製作用,並進行復雜的信號處理,產生測試信號及控制整個檢測過程。所以在選擇單片機時,參考了以下標准。
(1)運行速度。單片機運行速度一般和系統匹配即可。
(2)存儲空間。單片機內部存儲器容量,外部可以擴展的存儲器(包括1/0口)空間。
(3)單片機內部資源。單片機內部存儲資源越多,系統外接的部件就越少,這可提高系統的許多技術指標。
(4)可用性。指單片機是否能很容易地開發和利用,具體包括是否有合適的開發工具,是否適合於大批量生產:、性能價格比,是否有充足的資源,是否有現成的技術資源等。
(5)特殊功能。一般指可靠性、功耗、掉電保護、故障監視等。
從硬體角度來看,與MCS-51指令完全兼容的新一一代AT89CXX系列機,比在片外加EPROM才能相當的8031-2單片機抗干擾性能強,與87C51-2單片機性能相當,但功耗小。程序修改直接用+5伏或+12伏電源擦除,更顯方便、而且其工作電壓放寬至2.7伏一6伏,因而受電壓波動的影響更小,而且4K的程序存儲器完全能滿足單片機系統的軟體要求。故AT89C51單片機是構造本檢測系統的更理想的選擇。本系統選用ATMEL生產的AT89C51單片機,其特性如下:
(1) 4K位元組可編程閃速程序存儲器;1000次循環寫/擦
(2)全靜態工作:OHz-24MHz
(3)三級程序存儲器鎖定
(4) 128 X 8位內部數據存儲器,32條可編程1/0線
(5)兩個十六位定時器/計數器,六個中斷源
(6)可編程串列通道,低功耗閑置和掉電模式
該器件採用了ATMEL的高密度非易失性的存儲器工藝,並且可以與工業標準的MCS-51指令集和輸出管腳兼容。由於將多功能8位CPU與閃速式存儲器組合在單個晶元中,AT89C51是一種高效的微控制器,為很多嵌入式系統提供了高靈活性且價廉的方案。
3.2 溫度感測器的選擇
DS18B20是美國達拉斯半導體公司的產品,與其他產品相比較它的性能有如下特點:①採用單匯流排專用技術,既可通過串列口線,也可通過其它I/O口線與微機介面,無須經過其它變換電路,直接輸出被測溫度值(9位二進制數,含符號位),②測溫范圍為-55℃-+125℃,測量解析度為0.0625℃,③內含64位經過激光修正的只讀存儲器ROM,④適配各種單片機或系統機,⑤用戶可分別設定各路溫度的上、下限,⑥內含寄生電源。所以在本設計中,我採用了DS18B20作為溫度感測器。【8】
3.3 模擬軟體的選擇
Proteus 是英國Labcenter公司開發的電路分析與實物模擬軟體。它運行於Windows操作系統上,可以模擬、分析(SPICE)各種模擬器件和集成電路,該軟體的特點是:
①實現了單片機模擬和SPICE電路模擬相結合。具有模擬電路模擬、數字電路模擬、單片機及其外圍電路組成的系統的模擬、RS232動態模擬、I2C調試器、SPI調試器、鍵盤和LCD系統模擬的功能;有各種虛擬儀器,如示波器、邏輯分析儀、信號發生器等。
②支持主流單片機系統的模擬。目前支持的單片機類型有:ARM7(LPC21xx)、 8051/52系列、AVR系列、PIC10/12/16/18系列、HC11系列以及多種外圍晶元。
③提供軟體調試功能。在硬體模擬系統中具有全速、單步、設置斷點等調試功能,同時可以觀察各個變數、寄存器等的當前狀態,因此在該軟體模擬系統中,也必須具有這些功能;同時支持第三方的軟體編譯和調試環境,如Keil C uVision2、MPLAB等軟體。【9】
3.4 編譯軟體的選擇
KEIL C51標准C編譯器為8051微控制器的軟體開發提供了C語言環境,同時保留了匯編代碼高效,快速的特點。C51編譯器的功能不斷增強,使你可以更加貼近CPU本身,及其它的衍生產品。C51已被完全集成到uVision2的集成開發環境中,這個集成開發環境包含:編譯器,匯編 器,實時操作系統,項目管理器,調試器。uVision2 IDE可為它們提供單一而靈活的開發環境。
C51 V7版本是目前最高效、靈活的8051開發平台。它可以支持所有8051的衍生產品,也可以支持所有兼容的模擬器,同時支持其它第三 方開發工具。因此,C51 V7版本無疑是8051開發用戶的最佳選擇。
uVision2集成開發環境具有如下功能:
一、項目管理
工程(project)是由源文件、開發工具選項以及編程說明三部分組成的。
一個單一的uVision2工程能夠產生一個或多個目標程序。產生目標程序的源文件構成「組」。開發工具選項可以對應目標,組或單個文件。
uVision2包含一個器件資料庫(device database),可以自動設置匯編器、編譯器、連接定位器及調試器選項,來滿足用戶充分利用特定 微控制器的要求。此資料庫包含:片上存儲器和外圍設備的信息,擴展數據指針(extra data pointer)或者加速器(math accelerator)的特 性。
uVision2可以為片外存儲器產生必要的連接選項:確定起始地址和規模。
二、集成功能
uVision2的強大功能有助於用戶按期完工。
1.集成源極瀏覽器利用符號資料庫使用戶可以快速瀏覽源文件。用詳細的符號信息來優化用戶變數存儲器。
2.文件尋找功能:在特定文件中執行全局文件搜索。
3.工具菜單:允許在V2集成開發環境下啟動用戶功能。
4.可配置SVCS介面:提供對版本控制系統的入口。
5.PC-LINT介面:對應用程序代碼進行深層語法分析。
6.Infineon的EasyCase介面:集成塊集代碼產生。【10】
3.5 PROTEUS 模擬電路圖
圖1是基於單片機的智能溫度檢測系統電路原理圖。控制加熱熱水器電源電路用LED燈模擬代替,取消無水報警電路。裝上水後接通電源,下方LED數碼管顯示當前水溫。上方LED數碼管顯示預設水溫。操作「個位」鍵和「十位」鍵可預設水溫(如99℃)控制點。該電路具有如下功能:
(1) 測量水溫,精度為1℃,范圍為0~99℃;
(2) 三位數碼管實時顯示水溫;
(3) 可預設水溫(如99℃)控制點,當水加熱到該水溫時自動斷電,當水溫低於該水溫時自動上電加熱;
(4) 無水自動斷電和報警功能(略)。

圖1 基於單片機的智能溫度檢測系統電路原理圖

第4章 匯編語言程序
4.1 主程序和溫度值轉換成顯示值子程序的流程圖

4.2 DS18B20溫度子程序和顯示子程序的流程圖

4.3 匯編語言源程序
ORG 0
LJMP MAIN1
ORG 0003H
LJMP ZINT0
ORG 13H
LJMP ZINT1

TMPH: EQU 28H
FLAG1: EQU 38H
DATAIN: BIT P3.7
MAIN1: SETB IT0
SETB EA
SETB EX0
SETB IT1
SETB EX1
SETB P3.6
SETB P3.2
MOV 74H,#0
MOV 75H,#0
MOV 76H,#0
MOV 77H,#0
MAIN: LCALL GET_TEMPER
LCALL CVTTMP
LCALL DISP1
AJMP MAIN
INIT_1820:
SETB DATAIN
NOP
CLR DATAIN
MOV R1,#3
TSR1: MOV R0,#107 ;保持642ms
DJNZ R0,$
DJNZ R1,TSR1
SETB DATAIN ;釋放DS18B20匯流排
NOP
NOP
NOP
MOV R0,#25H
TSR2: JNB DATAIN,TSR3
DJNZ RO,TSR2
CLR FLAG1
SJMP TSR2
TSR3: SETB FLAG1 ;標志位置1,證明DS18b20存在
CLR P1.7
MOV R0,#117
TSR6: DJNZ R0,$
TSR7: SETB DATAIN
RET ;延時254us
GET_TEMPER:
SETB DATAIN
LCALL INIT_1820
JB FLAG1,TSS2
NOP
RET ;DS18B20檢測程序
TSS2: MOV A,#0CCH ;跳過ROM,使用存儲器
LCALL WRITE_1820
MOV A,#44H ;對RAM操作,開始溫度轉換
LCALL WRITE_1820
ACALL DISP1
LCALL INIT_1820
MOV A,#0CCH
LCALL WRITE_1820
MOV A,#0BEH
LCALL WRITE_1820
LCALL READ_1820;讀暫存器中的溫度數值
RET
WRITE_1820:
MOV R2,#8
CLR C
WR1: CLR DATAIN
MOV R3,#6
DJNZ R3,$
RRC A
MOV DATAIN,C
MOV R3,#23
DJNZ R3,$
SETB DATAIN
NOP
DJNZ R2,WR1
SETB DATAIN
RET
READ_1820:
MOV R4,#2
MOV R1,#29H
RE00: MOV R2,#8
RE01: CLR C
SETB DATAIN
NOP
NOP
CLR DATAIN
NOP
NOP
NOP
SETB DATAIN
MOV R3,#9
RE10: DJNZ R3,RE10
MOV C,DATAIN
MOV R3,#23
RE20: DJNZ R3,RE20
RRC A
DJNZ R2,RE01
MOV @R1,A
DEC R1
DJNZ R4,RE00
RET
CVTTMP: MOV A,TMPH
ANL A,#80H ;判斷溫度正負,正不變,負則取反加1
JZ TMPC1
CLR C
MOV A,TMP1
CPL A
ADD A,#1
MOV TMP1,A
MOV A,TMPH
CPL A
ADDC A,#0
MOV TMPH,A
MOV 73H,#0BH
SJMP TMPC11
TMPC1: MOV 73H,#0AH
TMPC11: MOV A,TMP1
ANL A,#0FH
MOV DPTR,#TMPTAB
MOVC A,@A+DPTR
MOV 70H,A
MOV A,TMP1
ANL A,#0FH
SWAP A
ORL A,TMPL
B2BCD: MOV B,#100
DIV AB
JZ B2BCD1
MOV 73H,A
B2BCD: MOV A,#10
XCH A,B
DIV AB
MOV 72H,A
MOV 71H,B
TMPC12: NOP
DISBCD: MOV A,73H
ANL A,#0FH
CJNE A,#1,DISBCD0
SJMP DISBCD1
DISBCD0: MOV A,72H
ANL A,#0FH
JNZ DISBCD1
MOV A,73H
MOV 72H,A
MOV 73H,#0AH
DISBCD1: RET
TMPTAB: DB 0,1,1,2,3,3,4,4,5,6,6,7,8,8,9,9
DISP1: MOV R1,#70H
MOV R0,#74H
MOV R5,#0FEH ;顯示實際溫度
PLAY: MOV P1,#0FFH
MOV A,R5
MOV P2,A
MOV A,@R1
MOV DPTR,#TAB
MOVC A,@A+DPTR
MOV P1,A
MOV P1,A
MOV A,@R0
MOVC A,@A+DPTR
MOV P0,A
MOV A,R5
JB ACC.1,LOOP1
JB P1.7
CLR P1.7
CLR P0.7 ;顯示小數點
LOOP1: LCALL DL1MS
INC R1
INC R0
MOV A,R5
JNB ACC.3,ENDOUT
RL A
MOV R5,A
MOV A,73H
CJNE A,#1,DD2
SJMP LEDH
DD2: MOV A,72H
CJNE A,72H,DDH
SJMP DD1
DDH: JNE PLAY1
LEDH: CLR P3.6
SJMP PLAY
PLAY1: SETB P3.6
SJMP PLAY
ENDOUT: MOV P1,#0FFH
MOV P2,#0FFH
RET
TAB: DB 0C0H,0F9H,0A4H,0B0H,99H
DB 92H,82H,0F8H,80H,90H,0FFH,0BFH
DL1MS: MOV R6,#14H
DL1: MOV R7,#100
DJNZ R7,$
DJNZ R6,DL1
RET
ZINT0: PUSH A
INC 75H
MOV A,,75H
CJNE A,#10,ZINT01
MOV 75H,#0
ZINT01: POP A
RETI
ZINTT1: PUSH A
INC 76H
MOV A,76H
CJNE A,#10,ZINT11
MOV 76H,#0
ZINT11: POP A
RETI

『肆』 跪求...基於51單片機自動跟蹤陽光太陽能熱水器控制系統的設計

對綠色能源的開發和利用是響應我國節能減排,環保政策的舉措,太陽能作為可持續,零污染,具有很高的環保價值和經濟效益,高效利用太陽能還可以有效替代部分化石能源,從而降低因石化能源燃燒導致的污染,減輕霧霾。然而農村太陽能豐富,卻沒能得到很好的利用,即便現有的發電產品對太陽能電池板也大多採用固定支架。課題對此提出了能夠跟蹤太陽方向的雲台支架,可實現太陽能電池板自動調節而始終面向光線最強的一面,提高太陽能發電的利用率。課題從雲台,電機驅動,控制器,光線感測器,液晶顯示等構成,課題成果不僅可以用到太陽能發電,還可以用到其它的向光場所,如天文觀測等具有較高的實用價值。

隨著時代的進步與科技的飛速發展,使得對能源的需求隨之增加,對不可再生能源的過度依賴[1],從而使得不可再生能源的存儲量急劇減少,一些不可再生能源(石油)被視為戰略資源,據目前統計,煤炭、石油、天然氣也會在歲月的實踐中而日趨枯竭,消耗殆盡。這些不可再生能源的產生顯然跟不上人類對其的需求,為更好的實現可持續發展,本課題提出了一種太陽追蹤的可行方案,可以大大提升對太陽能的利用,減少對不可再生資源的過度依賴。

為了解決人們對不可再生資源的過度依賴和對清潔能源的高利用率。提出設計一款零污染高效率的裝置——太陽追蹤器。通過電機,控制器,採光板光線感測器等元器件之間的相互配合,實現對太陽光照射最強的方位,實現全方位無死角跟蹤,恰巧正好急需這樣一款具有安全、環保、高效率、以及取之不盡用之不竭的特點,也很方便就可以獲取,如風能和潮汐能一樣是絕對的無污染清潔能源,這也就很好的闡述了光能的可行性[2]。——對此提出太陽跟蹤裝置設計與製作。

優點:太陽作為一個取之不盡用之不竭的能源。在《太陽能利用技術》[3]就有相關的提到,所到達地球表面能量等同於每秒向地球源源不斷的投放了500萬噸煤炭。陽光所到之處,皆為財富,免費使用的同時也不需要考慮任何的運輸費用以及零污染等特性。

缺點:即便如此的看似完美無缺,也存在著兩個致命性缺點[4]:一是能流密度很小;二是太陽的光照強度也會因為(天氣、白夜等)因素的不同而有著很大的差距,很難長時間維持在恆定值,這也在一定程度上大大的影響了使用效率[5]。

國外太陽追蹤器:對太陽能的使用在兩千零四年到兩千零六年太陽能的發電量都是驚人的4961MW[6],在一九九七年,美國的Blackace研製了單軸追蹤器,熱接收率提高了百分之十五......,後期圍繞高效率,輕質量展開。在太陽能遊艇、太陽能飛機、太陽能瓦片等方面得到運用,也見證了太陽能利用的高效率性[7]。

國內太陽追蹤器:在應用市場上面得到了不斷擴張,對於太陽能追蹤器的利用那也是一個相當熱門的談話主題,途徑多年的經驗,將其用在了太陽能熱水器、太陽能路燈以及西部計劃、利用太陽能發電、太陽能供暖等等[8]。

更多的往往是採用單軸跟蹤的方式,相比之下更需要多軸,實現全方位無死角跟蹤。

針對不同條件下,提出了自動控制和手動調節的兩種工作方式:

其中以「自動模式」概述:在自動追尋的過程中,會自動判斷光的強度的大小,若下面光照強度大於上面光照強度,STM32單片機就會直接驅動上端電機向下翻轉;以便於在下午太陽西落的時候,獲得更多的光照,若上面光照強度大於下面光照強度,STM32單片機就會直接驅動上端步進電機向上運動;若上下兩個方位的光照強度均等,上端步進電機不進行動作。在上下光照均勻,左右方向運動的情況,右方位的光照強度大於左方位,STM32單片機就直接驅動下方位第一個步進電機向左方位一定角度轉動;若左方位的光照強度大於右方位的光照強度,STM32單片機就直接驅動下方位第一個步進電機向左方位進行運動;當左右方位採光度也保持幾乎均應的時候光照,那麼下方位的第一個電機也將保持不動。
「手動模式」狀態進行使用按鍵手動來完成設備狀態的切換。四個按鍵對應控制電機完成:上、下、左、右的翻轉動作。通過點動的方式來控制驅動步進電機的實際運動。
在給設備系統進行上電後,系統最初為「自動模式」,這樣可以更好的在不受人為干預的情況下實現對太陽能的最大接收。
編譯語言的選取

方案一:C語言

簡潔緊湊、靈活方便;運算符的豐富性;數據結構的豐富性;結構式語言;語法局限性小,程序編寫自由度大;通過對物理地址的直接訪問,使得完全可以對硬體實現直接控制;程序執行效率高。

C語言面向過程,最主要的在於演算法和數據結構。通過一個過程,對輸入進行運算處理得到輸出。

方案二:C++

C++語言是面向對象的語言,在C的基礎上添加了面向對象、模板等現在程序設計語言的特性。拓展了面向對象設計的內容,使之更加符合現代程序設計的需要。

看似C++比C多了很多優點和特性,但C++並不是所有場合都適用,很多嵌入式開發系統,都只提供了C語言的開發環境,而沒有提供C++的開發環境。很多C++語言不願意乾的臟活累活,C語言干起來快活得很。而C++因為過於復雜,在這方面就稍遜一籌了。

方案三:java

Java是一種解釋性語言,Java人氣極高,但其代碼由於需要在運行前進行解釋因此性能表現更差。C++會被編譯為二進制形式,因此其能夠立即運行且速度更快。兩個程序都足夠大、而且C++的代碼經過優化,兩者的速度差就會變得很顯著甚至很驚人,C++會比java快很多。

從系統的復雜性出發來考慮,同時整個過程的計算量比較大,因此我選用了浮點數的計算方式,選用方案一作為整個系統編譯方式。

2.2 控制系統總體方案選取

方案一:視日尋跡追蹤模式

這樣的一種模式,是基於天文學公式來得出太陽在不同時候的理論性的方位角和俯仰角,在後根據太陽每天在當地實際的運行軌跡位置編寫控制演算法程序,通過使用控制演算法的方式來實現對太陽所在位置的計算,最後通過驅動太陽能板的兩個步進電機來達到俯仰和方位上的轉動。有點是對外界環境的依賴小,同是也存在弊端,那就是不管外界環境是何種天氣,它都會以同樣的工作方式運動,增加了不必要的能耗和元器件的壽命磨損。

太陽的俯仰角h和方位角A的兩個位置參數,可表達如下所示:

δ為赤緯角,Φ是本地緯度,Ω表示太陽時角。

方案二:光電追蹤模式

該模式的核心演算法是利用光敏感測器對太陽位置進行檢測。具體方法:在遮陽板兩側完全對稱地安裝光敏感測器,當太陽光垂直照射在太陽能光伏電池板上時,安裝在兩側上的光敏感測器所產生的電信號相等,將這兩路信號經過放大後送入比較器進行比較,此時不驅動步進電機進行轉動。當太陽位置移動後,遮陽板對陽光進行遮擋,此時兩側的光敏感測器產生的電信號不相等,從而經過放大比較後產生差信號,電機開始運動,完成太陽跟蹤過程。

通過兩者的比較,選擇方案二,簡單易操作性,更適合被普及廣泛使用,在同等使用條件下,最簡方案,則是最優方案。

2.3主控系統選擇

方案一:51單片機作為控制晶元。主要是表現在:主要控制參數是使用設置寄存器變數得以實現,在程序的修改方面,也是相當的方便快捷,成本也是相對低廉,性能與相對簡單的太陽能跟蹤裝置系統匹配;數字化的控制系統,可以達到較高的精度。

方案二:採用FPGA這樣的大規模可編程邏輯器件,但本題屬於控制類,即現場可編程門陣列[WJ1] ,它是在PAL、EPLD等可編程器件的基礎上進-一步發展的產物。

方案三:ARM作為一種高性能嵌入式系統。考慮到方案的可實行性,STM32可以很好的解決數據處理和控制功能,十分適用於太陽能跟蹤,雖是ARM價格昂貴,但是在後期的可拓展空間更大。[WJ2]

結合本次設計的任務要求,以及上訴三種方案的相對比較,最後選用方案三更適合本課題的設計標准,具體採用STM32F103C8T6。

2.4電機選擇

方案一:選擇步進電機,然而步進電機的最大優點就是可以精確地控制電機步數和角度,缺點是價格昂貴。

方案二:選擇直流電機。價格便宜是它的一大亮點,通過減速齒可以提高扭力,具有更大的負載,但是對電機的高精度控制直流電機達不到設計要求。

步進電機作為一種將電脈沖轉換成相應角位移或線位移的電磁機械裝置。通過直接控制輸入的脈沖數量,直接控制其啟停,啟動是速度快,步距角和轉速只取決於脈沖頻率,受外界影響因素小。因此,對於本設計任務要求,為更精確地完成對角度值的精度把控,更好地利用太陽能,因此我選用方案一作為本次課程設計的驅動電機。

2.5步進電機驅動系統選擇

方案一:L298專業電機驅動模塊的選擇,這類驅動模塊的操作方便以及介面簡單同時他們既可以驅動步進電機,也可驅動直流電機。

方案二:三極體等分立元件搭H橋。亮點在於實惠型,控制方式簡單以及結構簡單。優點的同時也伴隨著弊端的存在,電流的承載能力比較小,相同的驅動能力受到限制,分立元件則體積較大同時穩定性也得不到保證。

方案三:採用集成晶元,ULN2003。 .

達林頓管ULN2003,該晶元最多可一次驅動八塊步進電機,本設計作用於兩個步進電機,在實際的使用中,往往起著放點輸出的作用用於驅動大負載的步進電機等。

本次設計綜合考慮,依據實際設計需求,選擇方案三作為步進電機的驅動系統。

2.6實體結構框架選擇

方案一:兩電機互相處以垂直狀態,電機一是左右的轉動而電機二是上下的轉動,在不引入外界條件輔助設備的情況下會出現運動死角,從成本化出發是不可取的。

方案二:將兩個電機由之前的垂直安裝,改變為大於90°的安裝,在不引入外部設備的情況下,可以很好的避開運動死角,從而可實現全方位無死角跟蹤,綜合上述情況選擇方案二進行本次的實體結構設計。

2.2系統設計

2.2.1 單片機構成如下圖:

邏輯不通順,要指出FPGA不適用於本題的缺點

STM32整體比FPGA便宜很多,這條論證建議修改,或者做一個成本對比表再下結論

控制方式:第一步就是將數據程序輸入到輸入設備裡面,輸入設備將程序傳輸給運算器CPU和存儲器,各自程序都對應的傳輸到控制器裡面,由控制器完成完成相互的指令傳遞,最後都是作用於輸出設備,在輸出設備上顯示出來的結果就是最初程序所要表達的效果。

2.2.2 系統整體控制框圖如下:

圖2–2–2 系統整體控制框圖

控制方式:完成整個驅動控制,第一步就是感光元件及光敏電阻感測器對外界光的採集,完成電壓跟隨,通過A/D轉換,然後通過電壓的比較,使用STM32F103C8T6單片機控制電機的驅動,最終完成不同電機在不同的光照強度情況下不同方向的運動,最後實現對光的最大化接收。

2.2.3 電機控制框圖如下:

圖2–2–3 電機控制框圖

控制方式:通過光敏感測器對光的採集,實現了最後對電機運動方式的不同選擇和控制。

當感光元器件第一組接受到的光照強度值大於其它三個方位的光照強度時,那麼電機完成水平方向的電機正轉,並返回最初狀態。
當感光元器件第二組接受到的光照強度值大於其它三個方位的光照強度時,那麼電機完成水平方向的電機反轉,並返回最初狀態。
當感光元器件第三組接受到的光照強度值大於其它三個方位的光照強度時,那麼電機完成垂直方向的電機正轉,並返回最初狀態。
當感光元器件第四組接受到的光照強度值大於其它三個方位的光照強度時,那麼電機完成垂直方向的電機反正,並返回最初狀態。
當所有的感光元器件都處於接受管的均勻照射時,此時的光照強度幾乎大小相等,也就電機的狀態保持不運動。

2.2.4整體電路原理圖如下:

圖2-2-4 整體電路原理圖

系統軟體總體設計流程如圖 2-2-4 所示。系統啟動後,軟體先進行初始化等工作,當程序初始化完成後,通過 感光元器件獲得當前的光照強度,然後根據初始化的參數,控制步進電機將太陽能光伏板轉動到理論的初始狀態,預定方位。將太陽能光伏板轉動到理論位置後,程序開始判斷步進電機轉動模式是手動模式還是自動,初始默認狀態是自動跟蹤模式。

當手動模式時,人為調整電機控制上下左右 4 個按鍵的狀態,使得電機按照人們預想的方向進行運動,以此來得以控制四個方位的不同垂直轉動和水平移動的俯仰角和方位角。當程序判斷為自動模式後,開始自動讀取檢測電路的返回信號,當檢測到是各個方位的光照強度值有較大的的差異是,那麼單片機就發出控制指令控制步進電機進行轉動,升壓模塊是為了給整個系統穩定供電而存在。

『伍』 基於單片機的溫度控制系統的設計

利用溫度感測器DS18B20檢測環境溫度並直接輸出數字溫度信號給單片機AT89C52進行處理。

在LCD液晶上顯示當前環境溫度值、預設溫度值、使用者設定的溫度差以及目前風扇所處的檔位。其中預設溫度值只能為整數形式,檢測到的當前環境溫度可精確到小數點後一位。

同時採用PWM脈寬調制方式來改變直流風扇電機的轉速。

並通過兩個按鍵改變預設溫度值,一個提高預設溫度,另一個降低預設溫度值。通過另一個按鍵控制溫度差的大小。

設有紅外熱釋感測器檢測環境范圍內是否有人,如果有人確定出風方向,如果無人,降低轉速或一定時間內自動關閉。

回答

正如你所說的,一共用了DS18B20模塊,LCD模塊,紅外感測模塊,按鍵,直流電機模塊,程序方面只有一個PWM。現在一一為你分析:

DS18B20模塊:

下圖是它的原理圖,採用單匯流排來進行開發,不像電賽的哪個溫度感測器需要AD轉換,它是可以直接傳出數字信號的。

『陸』 關於單片機可以做哪些實物為畢業設計

這個具體的話還是要看你想做什麼的,你熟悉什麼的,
[電子通訊] 計程車計價系統
[過程式控制制] 基於單片機實現單迴路智能調節.. [電子通訊] 脈沖數字頻率計
[機床儀表] 儀表機床數控系統的設計(論文.. 磁流體發電論文 [電子通訊] 基於VC++6.0的PC機與單片機串列.. [電子通信] 通信畢業翻譯(移動通信技術的.. [電子] 利用單片機製作數字式時鍾 [電子] 虛擬儀器溫室大棚溫度測控系統
[電子通訊] 基於MATLAB遺傳演算法工具箱的控.. [電子通訊] 紅外遙控防盜密碼鎖 [電子工程] 電子電路雜訊的研究
[電子] 51系列單片機教學實驗板硬體設計 [畢業論文英文資料] 步進電機基本控制電..
[畢業論文英文資料] CAN匯流排在遠程電力抄表系統中的應用 [電子] 管道液化氣智能檢測與控制系統
[電力] 發電廠繼電保護整定和定值管理系統.. [電子工程] R、L、C測量儀
[電子] 家庭取暖燃氣鍋爐溫度控制系統設計 [電子] 塑料大棚保溫程序設計
[電力工程設計] 110kV變電站電氣一次部分設.. [電子通訊] VHDL 課 程 設 計
[電子通訊] 多參數測井儀單片機編碼系統 [電子信息工程] 圖像處理,畢業論文答辯文.. [電子信息工程] 圖像處理,人臉檢測,畢業.. [電子工程] 空調機溫度制系統
[電子通訊] 基於PWM的按摩機傳動控制電路設.. [光學工程] 鈮酸鋰晶體光折變性能的提高及.. [電子信息] 移動目標的識別技術
[電子通訊] 數字化星用擴頻應答機的設計和.. [圖像技術] 基於內容的圖象檢索的方法研究.. [自動化] 工廠變配電室監測系統
[畢業設計] [畢業設計]非同步電機串級調速系.. [畢業設計] [畢業設計]非同步電機串級調速系.. [電子通訊] 電子音樂的設計 [管理系統] 知識管理系統
[電子通訊] 多功能視力保護器 電子通訊]
[電子通訊] 基於EISA匯流排的高速數據採集卡.. [課程設計] 數字電路課程設計 [電子通信] 電子軟體的研究 [電子通信] 搶答器設計
[電子通訊] 單片機控制音樂播放
[電子通訊] 工業順序控制子]基於DSP的自適應均衡器的設計及實現

閱讀全文

與基於單片機的電熱毯設計相關的資料

熱點內容
如何填寫國家反詐中心app注冊使用 瀏覽:789
日本影視網站 瀏覽:932
伺服器點亮埠以後有什麼特徵 瀏覽:980
51單片機定時器pwm 瀏覽:685
民國修真 瀏覽:386
php數組作為參數傳遞 瀏覽:991
運行命令查ip 瀏覽:202
漲奶吃奶小說 瀏覽:340
股票十大戰法主圖指標源碼 瀏覽:702
查看網路的命令是什麼意思 瀏覽:589
《鬼吹燈》1-8全本txt 瀏覽:336
python繪圖兩個圓代碼 瀏覽:607
python中end的用法 瀏覽:682
大概率30選股源碼 瀏覽:206
阿里雲伺服器如何鏡像 瀏覽:161
能看的 瀏覽:403
京東伺服器為什麼會崩 瀏覽:836
php超類 瀏覽:822
怎麼在美團APP上請假 瀏覽:100
午夜激情影院 瀏覽:242