导航:首页 > 操作系统 > 32单片机输出方形波

32单片机输出方形波

发布时间:2022-09-27 01:42:53

⑴ 利用单片机和DAC0832控制输出方形波,锯齿波,三角波,并通过示波器显示 程序如下

单片机和DAC0832控制输出方波,锯齿波,三角波,正弦波。

#include<at89x51.h>

//unsigned char TIME0_H=0xec,TIME0_L=0x78; //定时器0的初值设置;全局变量

#include<sinx.h>

#include<0832.h>

void main()

{

TMOD=0X01;

TH0=0xff;

TL0=0xd9;

IT0=1; //设置中断触发方式,下降沿

EA=1;

EX0=1;

ET0=1;

IP=0X01; //键盘中断级别高

TR0=1;

while(1)

{

// square();

;

}

}

********************************************************************

#ifndef __0832_h__

#define __0832_h__

//#define INPUT XBYTE[0xbfff] //即cs 与xfer 轮流低电平。

//#define DACR XBYTE[0x7fff] //单通道输出,单缓冲就行了。

unsigned char i,sqar_num=128; //最大值100,默认值50

unsigned char cho=0; //0:正弦波。1:方波。2:三角波。3:锯齿波。

unsigned char num=0;

unsigned char TIME0_H=0xff,TIME0_L=0xd9; //定时器0的初值设置;全局变量.对应正弦波,锯齿波50HZ

sbit chg= P1^0; //三角波100Hz.

sbit freq_u=P1^1;

sbit freq_d=P1^2;

sbit ty_u=P1^3;

sbit ty_d=P1^4;

sbit cs =P3^7;

bit flag=0;

unsigned int FREQ=50;//初始化频率,50HZ

//调节部分——频率

void freq_ud(void)

{

unsigned int temp;

if(freq_d==0)

{ FREQ--; }

else if(freq_u==0)

{ FREQ++; }

if(cho==1|cho==3) //锯齿波256次中断一周期,特殊处理下。否则他的频率是100(+-)n*2Hz.

{

temp=0xffff-3906/FREQ; //方波,三角波默认为100hz,切换后频率也为50HZ 65336-10^6/(256*FREQ)

TIME0_H=temp/256;

TIME0_L=temp%256;

}

else if(cho==0|cho==3){ //正弦波 三角波默认周期50hz 65536-10^6//(512*FREQ)

temp=0xffff-1953/FREQ;

TIME0_H=temp/256;

TIME0_L=temp%256;

}

}

//调节部分——方波的占空比

void ty_ud(void) //方波也采用512次中断构成一个周期。

{

if(ty_d==0&sqar_num>0)

sqar_num--;

else if(ty_u==0&sqar_num<255)

sqar_num++;

}

//波形发生函数

void sint(void)

{

if(!flag)

{

cs=0;P2=sin_num[num++];cs=1;

if(num==0){num=255;flag=1;}

}

else if(flag)

{

cs=0;P2=sin_num[num--];cs=1;

if(num==255){num=0;flag=0;}

}

}

void square(void)

{

if(i++<sqar_num) {cs=0;P2=0XFF;cs=1;}

else{cs=0;P2=0X00;cs=1;}

}

void triangle(void)

{

cs=0;P2=num++;cs=1;

}

void stw(void)

{

if(~flag)

{

cs=0;P2=num++;cs=1;

if(num==0){num=255;flag=1;}

}

else if(flag)

{

cs=0;P2=num--;cs=1;

if(num==255){num=1;flag=0;}

}

}

//按键中断处理程序。

void it0() interrupt 0

{

if(chg==0) { if(++cho==4) {cho=0;num=0;} } //num=0;所有数据从新开始,保证波形的完整性

else if(freq_u==0|freq_d==0)

{freq_ud();}

else if (cho==1&(ty_d==0|ty_u==0))

{ty_ud();}

else ;

}

//定时器中断处理程序。

void intt0() interrupt 1

{

//TH0=0x00;TL0=0x00;sinx();

switch(cho)

{

case 0:{TH0=TIME0_H;TL0=TIME0_L;sint() ;break;} //正弦波//每半周期256取样。

case 1:{TH0=TIME0_H;TL0=TIME0_L;square(); break;} //方波 //为了提高方波的最高频率,只有牺牲占空比的最小可调值。分100份 每次1%。

case 2:{TH0=TIME0_H;TL0=TIME0_L;triangle();break;} //三角波

case 3:{TH0=TIME0_H;TL0=TIME0_L;stw(); break;} //锯齿波

default: ;

}

}

#endif

*****************************************

//正弦表;每半个周期256个取值,最大限度保证波形不失真。

//各个值通过MATLAB算出,并四设五如取整。具体程序如下

#ifndef __sinx_h__

#define __sinx_h__

unsigned char code sin_num[]={

0,0, 0, 0, 0, 0, 0, 0, 1, 1, 1, 1, 1, 2, 2, 2,

2, 3, 3, 4, 4, 4, 5, 5, 6, 6, 7, 7, 8, 8, 9, 9,

10, 10, 11, 12, 12, 13, 14, 15, 15, 16, 17, 18, 18, 19, 20, 21,

22, 23, 24, 25, 25, 26, 27, 28, 29, 30, 31, 32, 34, 35, 36, 37,

38, 39, 40, 41, 42, 44, 45, 46, 47, 49, 50, 51, 52, 54, 55, 56,

57, 59, 60, 61, 63, 64, 66, 67, 68, 70, 71, 73, 74, 75, 77, 78,

80, 81, 83, 84, 86, 87, 89, 90, 92, 93, 95, 96, 98, 99, 101,102,

104, 106, 107, 109, 110, 112, 113, 115, 116, 118, 120, 121, 123, 124, 126, 128,

129, 131, 132, 134, 135, 137, 139, 140, 142, 143, 145, 146, 148, 149, 151, 153,

154, 156, 157, 159, 160, 162, 163, 165, 166, 168, 169, 171, 172, 174, 175, 177,

178, 180, 181, 182, 184, 185, 187, 188, 189, 191, 192, 194, 195, 196, 198, 199,

200, 201, 203, 204, 205, 206, 208, 209, 210, 211, 213, 214, 215, 216, 217, 218,

219, 220, 221, 223, 224, 225, 226, 227, 228, 229, 230, 230, 231, 232, 233, 234,

235, 236, 237, 237, 238, 239, 240, 240, 241, 242, 243, 243, 244, 245, 245, 246,

246, 247, 247, 248, 248, 249, 249, 250, 250, 251, 251, 251, 252, 252, 253, 253,

253, 253, 254, 254, 254, 254, 254, 255, 255, 255, 255, 255, 255, 255, 255, 255

};

#endif

//MATLAB程序:

//x=linspace(-pi/2,pi/2,255);%如果过采用1位采用,很多值是重的。虽然实际中并不会。

//y=(sin(x)+1)/2.0*255;

//%uint32(y)%强制类型转换。

//%fprintf('%.f ',uint32(y));%控制输出类型

//round(y)%四舍五入函数

⑵ 51 单片机和DAC0832输出方波、矩形波和正弦波由液晶1602显示的C语言程序

显示频率,幅度可调,可产生四种波形,正弦波,方波,锯齿波,三角波,希望你能喜欢,给你发了一张效果图,喜欢的话别忘了采纳我的回答啊

#include<reg52.h>

#defineucharunsignedchar

#defineuintunsignedint

#defineDAdataP0 //DA数据端口

sbitDA_S1=P2^0;//控制DAC0832的8位输入寄存器,仅当都为0时,可以输出数据(处于直通状态),否则,输出将被锁存

sbitDA_S2=P2^1;//控制DAC0832的8位DAC寄存器,仅当都为0时,可以输出数据(处于直通状态),否则,输出将被锁存

sbitkey=P3^2;

ucharwavecount;//'抽点'计数

ucharTHtemp,TLtemp;//传递频率的中间变量

ucharjudge=1;//在方波输出函数中用于简单判别作用

ucharwaveform; //当其为0、1、2时,分别代表三种波

ucharcodefreq_unit[3]={10,50,200};//三种波的频率单位

ucharidatawavefreq[3]={1,1,1}; //给每种波定义一个数组单元,用于存放单位频率的个数

ucharcodelcd_hang1[]={"SineWave""TriangleWave""SquareWave""SelectWave:""pressNo.1key!"};

ucharidatalcd_hang2[16]={"f=Hz"};

ucharcodewaveTH[]={

0xfd,0xfe,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,

0xfd,0xfe,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,

0xec,0xf6,0xf9,0xfb,0xfc,0xfc,0xfd,0xfd,0xfd,0xfe};

ucharcodewaveTL[]={

0x06,0x8a,0x10,0x4e,0x78,0x93,0xa8,0xb3,0xbe,0xc6,//正弦波频率调整中间值

0xac,0xde,0x48,0x7a,0x99,0xaf,0xbb,0xc8,0xd0,0xde, //三角波频率调整中间值

0x88,0x50,0x90,0x32,0x34,0xbe,0x4a,0xa3,0xe5,0x2c};

/*************************************************************************************************/

ucharcodetriangle_tab[]={ //每隔数字8,采取一次

0x00,0x08,0x10,0x18,0x20,0x28,0x30,0x38,0x40,0x48,0x50,0x58,0x60,0x68,0x70,0x78,

0x80,0x88,0x90,0x98,0xa0,0xa8,0xb0,0xb8,0xc0,0xc8,0xd0,0xd8,0xe0,0xe8,0xf0,0xf8,0xff,

0xf8,0xf0,0xe8,0xe0,0xd8,0xd0,0xc8,0xc0,0xb8,0xb0,0xa8,0xa0,0x98,0x90,0x88,0x80,

0x78,0x70,0x68,0x60,0x58,0x50,0x48,0x40,0x38,0x30,0x28,0x20,0x18,0x10,0x08,0x00};

ucharcodesine_tab[256]={

//输出电压从0到最大值(正弦波1/4部分)

0x80,0x83,0x86,0x89,0x8d,0x90,0x93,0x96,0x99,0x9c,0x9f,0xa2,0xa5,0xa8,0xab,0xae,0xb1,0xb4,0xb7,0xba,0xbc,

0xbf,0xc2,0xc5,0xc7,0xca,0xcc,0xcf,0xd1,0xd4,0xd6,0xd8,0xda,0xdd,0xdf,0xe1,0xe3,0xe5,0xe7,0xe9,0xea,0xec,

0xee,0xef,0xf1,0xf2,0xf4,0xf5,0xf6,0xf7,0xf8,0xf9,0xfa,0xfb,0xfc,0xfd,0xfd,0xfe,0xff,0xff,0xff,0xff,0xff,0xff,

//输出电压从最大值到0(正弦波1/4部分)

0xff,0xff,0xff,0xff,0xff,0xff,0xfe,0xfd,0xfd,0xfc,0xfb,0xfa,0xf9,0xf8,0xf7,0xf6,0xf5,0xf4,0xf2,0xf1,0xef,

0xee,0xec,0xea,0xe9,0xe7,0xe5,0xe3,0xe1,0xde,0xdd,0xda,0xd8,0xd6,0xd4,0xd1,0xcf,0xcc,0xca,0xc7,0xc5,0xc2,

0xbf,0xbc,0xba,0xb7,0xb4,0xb1,0xae,0xab,0xa8,0xa5,0xa2,0x9f,0x9c,0x99,0x96,0x93,0x90,0x8d,0x89,0x86,0x83,0x80,

//输出电压从0到最小值(正弦波1/4部分)

0x80,0x7c,0x79,0x76,0x72,0x6f,0x6c,0x69,0x66,0x63,0x60,0x5d,0x5a,0x57,0x55,0x51,0x4e,0x4c,0x48,0x45,0x43,

0x40,0x3d,0x3a,0x38,0x35,0x33,0x30,0x2e,0x2b,0x29,0x27,0x25,0x22,0x20,0x1e,0x1c,0x1a,0x18,0x16,0x15,0x13,

0x11,0x10,0x0e,0x0d,0x0b,0x0a,0x09,0x08,0x07,0x06,0x05,0x04,0x03,0x02,0x02,0x01,0x00,0x00,0x00,0x00,0x00,0x00,

//输出电压从最小值到0(正弦波1/4部分)

0x00,0x00,0x00,0x00,0x00,0x00,0x01,0x02,0x02,0x03,0x04,0x05,0x06,0x07,0x08,0x09,0x0a,0x0b,0x0d,0x0e,0x10,

0x11,0x13,0x15,0x16,0x18,0x1a,0x1c,0x1e,0x20,0x22,0x25,0x27,0x29,0x2b,0x2e,0x30,0x33,0x35,0x38,0x3a,0x3d,

0x40,0x43,0x45,0x48,0x4c,0x4e,0x51,0x55,0x57,0x5a,0x5d,0x60,0x63,0x66,0x69,0x6c,0x6f,0x72,0x76,0x79,0x7c,0x80};

voiddelay(ucharz)

{

uintx,y;

for(x=z;x>0;x--)

for(y=110;y>0;y--);

}

voidtriangle_out() //三角波输出

{

DAdata=triangle_tab[wavecount++];

if(wavecount>64)wavecount=0;

DA_S1=0;//打开8位输入寄存器

DA_S1=1;//关闭8位输入寄存器

}

voidsine_out() //正弦波输出

{

DAdata=sine_tab[wavecount++];

DA_S1=0;//打开8位输入寄存器

DA_S1=1;//关闭8位输入寄存器

}

voidsquare_out()//方波输出

{

judge=~judge;

if(judge==1)DAdata=0xff;

elseDAdata=0x00;

DA_S1=0;//打开8位输入寄存器

DA_S1=1;//关闭8位输入寄存器

}

/************1602液晶的相关函数*************/

#definelcd_portsP1

sbitrs=P2^2;

sbitrw=P2^3;

sbitlcden=P2^4;

voidwrite_com(ucharcom)

{

rs=0; //置零,表示写指令

lcden=0;

lcd_ports=com;

delay(5);

lcden=1;

delay(5);

lcden=0;

}

voidwrite_date(uchardate)

{

rs=1; //置1,表示写数据(在指令所指的地方写数据)

lcden=0;

lcd_ports=date;

delay(5);

lcden=1;

delay(5);

lcden=0;

}

voiddisp_lcd(ucharaddr,uchar*temp1)

{

ucharnum;

write_com(addr);

delay(1);//延时一会儿???

for(num=0;num<16;num++)

{

write_date(temp1[num]);//或者这样写write_date(*(temp1+num));

delay(1);

}

}

voidinit_lcd()

{

//ucharnum;

lcden=0;//可有可无???

rw=0;//初始化一定要设置为零,表示写数据

write_com(0x38);//使液晶显示点阵,为下面做准备

write_com(0x0c);//初始设置

write_com(0x06);//初始设置

write_com(0x01);//清零

write_com(0x80);//使指针指向第一行第一格

disp_lcd(0x80,&lcd_hang1[3*16]);//在第一行显示

disp_lcd(0xc0,&lcd_hang1[4*16]);//在第二行显示

}

/********************1602液晶函数声明结束*********************/

voidmain()

{

uchari=0;

DA_S2=0;//使DAC寄存器处于直通状态

DAdata=0;

DA_S1=1;//关闭8位输入寄存器

init_lcd();

waveform=0;

TMOD=0x01;//设置定时器0为16位工作方式

IT0=1;//设置外部中断0为下降沿触发

ET0=1;//开定时器中断

EX0=1;

EA=1;

while(1)

{

//DAout(0xff); //可输出TTL波形

//DAout(0x80);

//T_temp=32;

}

}

voidtimer0()interrupt1

{

TH0=THtemp;

TL0=TLtemp;

if(waveform==0)sine_out();

elseif(waveform==1)triangle_out();

elseif(waveform==2)square_out();

}

voidkey_int0()interrupt0

{

ucharkeytemp;

uinttotal_freq;//总频率

EA=0;TR0=0;//关总中断与定时器

delay(5);//延时够吗???

if(key==0)//确实有按键按下而引发中断

{

keytemp=P3&0xf0;//获取P3口高四位的值

switch(keytemp)

{

case0xe0: //选择波形

waveform++;

if(waveform>2)waveform=0;

break;

case0xd0://频率按规定单位依次增加

wavefreq[waveform]++;

if(wavefreq[waveform]>10)wavefreq[waveform]=1;///*这边要用“>10”,因为它比“=11”可靠

break; //性更高,使加数有个上限,不会一直加下去*/

case0xb0://频率按规定单位依次衰减

wavefreq[waveform]--;

if(wavefreq[waveform]<1)wavefreq[waveform]=10;//这边要用“<1”,因为它比“=0”可靠性更高

break;

case0x70://TTL输出

DA_S2=1;//使DAC寄存器关闭

break;

}

THtemp=waveTH[waveform*10+(wavefreq[waveform]-1)];//方括号中选取第几个数后,并把该值赋给T_temp

TLtemp=waveTL[waveform*10+(wavefreq[waveform]-1)];

total_freq=wavefreq[waveform]*freq_unit[waveform];//求输出频率(个数*单位)

lcd_hang2[5]=total_freq%10+0x30;//在液晶中显示个位,(0x30在液晶显示中表示数字0)

total_freq/=10;lcd_hang2[4]=total_freq%10+0x30;//在液晶中显示时十位

total_freq/=10;lcd_hang2[3]=total_freq%10+0x30;//在液晶中显示时百位

total_freq/=10;lcd_hang2[2]=total_freq%10+0x30;//在液晶中显示时千位

disp_lcd(0x80,&lcd_hang1[waveform*16]);//在第一行显示

disp_lcd(0xc0,lcd_hang2);//在第二行显示

}

wavecount=0;//'抽点'计数清零

while(!key);

EA=1;TR0=1;//开启总中断与定时器

}

⑶ 单片机利用定时器输出矩形波

这个问题我似乎答过:可以借鉴输出PWM波的一般方法,要用到两个定时器,第一个用来确定整个波的周期,例如你这里的2ms,第二个用来确定高电平的时间,例如你这里的1/3ms,过程大概是这样的:启动第一个定时器,把电平拉高,紧接着启动第二个定时器,当第二个计数满了之后,把电平拉低,关闭第二个定时器,这时就出来了一个周期的波,你重复这个过程就出来连续波了,关于定时器初值和中断向量那些你再去找找资料吧,一言两语说不清楚

⑷ 如何运用单片机原理制作智能信号发生器,要求产生方波、矩形波、三角波、锯齿波和正弦波。

#include<reg51.h>

#include<absacc.h>

#include<MAX72191.h>

#defineDAC XBYTE[0x7fff] //P2.7接CS

sbitkey0 = P3^2;// 增减切换键

sbitkey1 = P3^3;//个位,十位,百位,千位的控制切换

sbitkey2 = P3^4;// 调整位

sbitkey3 = P3^5;// 波形选择正弦、三角、矩形波,锯齿波

unsignedchar i,j;

unsignedint counter,step,flag;

typedefunsigned int uint;

//定时器0初始化

voidInit_Timer0(void)

{

TMOD = (TMOD & 0XF0) | 0X01;//设置工作方式和定时初始值

TH0 = 0xff;

TL0 = 0x00;

TR0 =1; //启动定时器

ET0 =1;

}

//定义输出波形的代码

unsignedchar code type[4][256]={

{ //正弦波代码

0x00,0x00, 0x00, 0x00, 0x00, 0x00, 0x1, 0x1, 0x2, 0x3, 0x3, 0x4, 0x5, 0x6, 0x7, 0x8,

0x9, 0xb,0xc, 0xd, 0xf, 0x10,0x12,0x13,0x15,0x17,0x19,0x1b,0x1d,0x1f,0x21,0x23,

0x25,0x27,0x2a,0x2c,0x2e,0x31,0x33,0x36,0x39,0x3b,0x3e,0x41,0x43,0x46,0x49,0x4c,

0x4f,0x52,0x55,0x58,0x5b,0x5e,0x61,0x64,0x67,0x6a,0x6d,0x70,0x73,0x76,0x7a,0x7d,

0x80,0x83,0x86,0x89,0x8c,0x8f,0x93,0x96,0x99,0x9c,0x9f,0xa2,0xa5,0xa8,0xab,0xae,

0xb1,0xb4,0xb6,0xb9,0xbc,0xbf,0xc1,0xc4,0xc7,0xc9,0xcc,0xce,0xd1,0xd3,0xd5,0xd8,

0xda,0xdc,0xde,0xe0,0xe2,0xe4,0xe6,0xe8,0xea,0xeb,0xed,0xef,0xf0,0xf1,0xf3,0xf4,

0xf5,0xf6,0xf8,0xf9,0xf9,0xfa,0xfb,0xfc,0xfc,0xfd,0xfd,0xfe,0xfe,0xfe,0xfe,0xfe,

0xfe,0xfe,0xfe,0xfe,0xfe,0xfd,0xfd,0xfc,0xfc,0xfb,0xfa,0xf9,0xf9,0xf8,0xf6,0xf5,

0xf4,0xf3,0xf1,0xf0,0xef,0xed,0xeb,0xea,0xe8,0xe6,0xe4,0xe2,0xe0,0xde,0xdc,0xda,

0xd8,0xd5,0xd3,0xd1,0xce,0xcc,0xc9,0xc7,0xc4,0xc1,0xbf,0xbc,0xb9,0xb6,0xb4,0xb1,

0xae,0xab,0xa8,0xa5,0xa2,0x9f,0x9c,0x99,0x96,0x93,0x8f,0x8c,0x89,0x86,0x83,0x80,

0x7d,0x7a,0x76,0x73,0x70,0x6d,0x6a,0x67,0x64,0x61,0x5e,0x5b,0x58,0x55,0x52,0x4f,

0x4c,0x49,0x46,0x43,0x41,0x3e,0x3b,0x39,0x36,0x33,0x31,0x2e,0x2c,0x2a,0x27,0x25,

0x23,0x21,0x1f,0x1d,0x1b,0x19,0x17,0x15,0x13,0x12,0x10,0xf,0xd, 0xc, 0xb, 0x9,

0x8,0x7, 0x6, 0x5, 0x4, 0x3, 0x3, 0x2, 0x1, 0x1, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00

},

{ //三角波代码

0x2,0x4, 0x6, 0x8, 0xa, 0xc, 0xe, 0x10,0x12,0x14, 0x16, 0x18, 0x1a,0x1c, 0x1e, 0x20,

0x22,0x24, 0x26, 0x28, 0x2a, 0x2c, 0x2e, 0x30,0x32, 0x34, 0x36, 0x38, 0x3a, 0x3c, 0x3e, 0x40,

0x42,0x44, 0x46, 0x48, 0x4a, 0x4c, 0x4e, 0x50,0x52, 0x54, 0x56, 0x58, 0x5a, 0x5c, 0x5e, 0x60,

0x62,0x64, 0x66, 0x68, 0x6a, 0x6c, 0x6e, 0x70,0x72, 0x74, 0x76, 0x78, 0x7a, 0x7c, 0x7e, 0x80,

0x82,0x84, 0x86, 0x88, 0x8a, 0x8c, 0x8e, 0x90,0x92, 0x94, 0x96, 0x98, 0x9a, 0x9c, 0x9e, 0xa0,

0xa2,0xa4, 0xa6, 0xa8, 0xaa, 0xac, 0xae, 0xb0,0xb2, 0xb4, 0xb6, 0xb8, 0xba, 0xbc,0xbe, 0xc0,

0xc2,0xc4, 0xc6, 0xc8, 0xca, 0xcc, 0xce, 0xd0,0xd2, 0xd4, 0xd6, 0xd8, 0xda, 0xdc,0xde, 0xe0,

0xe2,0xe4, 0xe6, 0xe8, 0xea, 0xec, 0xee, 0xf0,0xf2, 0xf4, 0xf6, 0xf8, 0xfa, 0xfc,0xfe, 0xff,

0xfe,0xfc, 0xfa, 0xf8, 0xf6, 0xf4, 0xf2, 0xf0,0xee, 0xec, 0xea, 0xe8, 0xe6, 0xe4,0xe2, 0xe0,

0xde,0xdc, 0xda, 0xd8, 0xd6, 0xd4, 0xd2, 0xd0,0xce, 0xcc, 0xca, 0xc8, 0xc6, 0xc4,0xc2, 0xc0,

0xbe,0xbc, 0xba, 0xb8, 0xb6, 0xb4, 0xb2, 0xb0,0xae, 0xac, 0xaa, 0xa8, 0xa6, 0xa4,0xa2, 0xa0,

0x9e, 0x9c, 0x9a, 0x98, 0x96, 0x94, 0x92, 0x90,0x8e, 0x8c, 0x8a, 0x88, 0x86, 0x84, 0x82, 0x80,

0x7e, 0x7c, 0x7a, 0x78, 0x76, 0x74, 0x72, 0x70,0x6e, 0x6c, 0x6a, 0x68, 0x66, 0x64, 0x62, 0x60,

0x5e, 0x5c, 0x5a, 0x58, 0x56, 0x54, 0x52, 0x50,0x4e, 0x4c, 0x4a, 0x48, 0x46, 0x44, 0x42, 0x40,

0x3e, 0x3c, 0x3a, 0x38, 0x36, 0x34, 0x32, 0x30,0x2e, 0x2c, 0x2a, 0x28, 0x26, 0x24, 0x22, 0x20,

0x1e, 0x1c, 0x1a, 0x18, 0x16, 0x14, 0x12, 0x10,0xe, 0xc,0xa, 0x8, 0x6,0x4, 0x2, 0x00

},

{// 矩形脉冲波代码

0xff,0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff,0xff, 0xff, 0xff, 0xff, 0xff, 0xff,0xff, 0xff,

0xff,0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff,0xff, 0xff, 0xff, 0xff, 0xff, 0xff,0xff, 0xff,

0xff,0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff,0xff, 0xff, 0xff, 0xff, 0xff, 0xff,0xff, 0xff,

0xff,0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff,0xff, 0xff, 0xff, 0xff, 0xff, 0xff,0xff, 0xff,

0xff,0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff,0xff, 0xff, 0xff, 0xff, 0xff, 0xff,0xff, 0xff,

0xff,0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff,0xff, 0xff, 0xff, 0xff, 0xff, 0xff,0xff, 0xff,

0xff,0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff,0xff, 0xff, 0xff, 0xff, 0xff, 0xff,0xff, 0xff,

0xff,0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff,0xff, 0xff, 0xff, 0xff, 0xff, 0xff,0xff, 0xff,

0x00,0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,0x00, 0x00, 0x00, 0x00, 0x00, 0x00,0x00, 0x00,

0x00,0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,0x00, 0x00, 0x00, 0x00, 0x00, 0x00,0x00, 0x00,

0x00,0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,0x00, 0x00, 0x00, 0x00, 0x00, 0x00,0x00, 0x00,

0x00,0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,0x00, 0x00, 0x00, 0x00, 0x00, 0x00,0x00, 0x00,

0x00,0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,0x00, 0x00, 0x00, 0x00, 0x00, 0x00,0x00, 0x00,

0x00,0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,0x00, 0x00, 0x00, 0x00, 0x00, 0x00,0x00, 0x00,

0x00,0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,0x00, 0x00, 0x00, 0x00, 0x00, 0x00,0x00, 0x00,

0x00,0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,0x00, 0x00, 0x00, 0x00, 0x00, 0x00,0x00, 0x00,

},

{//锯齿波代码

0x00,0x01, 0x02, 0x03, 0x04, 0x05, 0x06, 0x07,0x08,0x09, 0x0a, 0x0b, 0x0c, 0x0d, 0x0e, 0x0f,

0x10,0x11, 0x12, 0x13, 0x14, 0x15, 0x16, 0x17,0x18,0x19, 0x1a, 0x1b, 0x1c, 0x1d, 0x1e, 0x1f,

0x20,0x21, 0x22, 0x23, 0x24, 0x25, 0x26, 0x27,0x28,0x29, 0x2a, 0x2b, 0x2c, 0x2d, 0x2e, 0x2f,

0x30,0x31, 0x32, 0x33, 0x34, 0x35, 0x36, 0x37,0x38,0x39, 0x3a, 0x3b, 0x3c, 0x3d, 0x3e, 0x3f,

0x40,0x41, 0x42, 0x43, 0x44, 0x45, 0x46, 0x47,0x48,0x49, 0x4a, 0x4b, 0x4c, 0x4d, 0x4e, 0x4f,

0x50,0x51, 0x52, 0x53, 0x54, 0x55, 0x56, 0x57,0x58,0x59, 0x5a, 0x5b, 0x5c, 0x5d, 0x5e, 0x5f,

0x60,0x61, 0x62, 0x63, 0x64, 0x65, 0x66, 0x67,0x68,0x69, 0x6a, 0x6b, 0x6c, 0x6d, 0x6e, 0x6f,

0x70,0x71, 0x72, 0x73, 0x74, 0x75, 0x76, 0x77,0x78,0x79, 0x7a, 0x7b, 0x7c, 0x7d, 0x7e, 0x7f,

0x80,0x81, 0x82, 0x83, 0x84, 0x85, 0x86, 0x87,0x88,0x89, 0x8a, 0x8b, 0x8c, 0x8d, 0x8e, 0x8f,

0x90,0x91, 0x92, 0x93, 0x94, 0x95, 0x96, 0x97,0x98,0x99, 0x9a, 0x9b, 0x9c, 0x9d, 0x9e, 0x9f,

0xa0,0xa1, 0xa2, 0xa3, 0xa4, 0xa5, 0xa6, 0xa7,0xa8,0xa9, 0xaa, 0xab, 0xac, 0xad, 0xae, 0xaf,

0xb0,0xb1, 0xb2, 0xb3, 0xb4, 0xb5, 0xb6, 0xb7,0xb8,0xb9, 0xba, 0xbb, 0xbc, 0xbd, 0xbe, 0xbf,

0xc0,0xc1, 0xc2, 0xc3, 0xc4, 0xc5, 0xc6, 0xc7,0xc8,0xc9, 0xca, 0xcb, 0xcc, 0xcd, 0xce, 0xcf,

0xd0,0xd1, 0xd2, 0xd3, 0xd4, 0xd5, 0xd6, 0xd7,0xd8,0xd9, 0xda, 0xdb, 0xdc, 0xdd, 0xde, 0xdf,

0xe0,0xe1, 0xe2, 0xe3, 0xe4, 0xe5, 0xe6, 0xe7,0xe8,0xe9, 0xea, 0xeb, 0xec, 0xed, 0xee, 0xef,

0xf0,0xf1, 0xf2, 0xf3, 0xf4, 0xf5, 0xf6, 0xf7,0xf8,0xf9, 0xfa, 0xfb, 0xfc, 0xfd, 0xfe, 0xff}

};

//显示子函数

Disp7219(unsignedlong dat)

{

unsigned char i;

unsigned char led[8];

led[7]=dat%10;

led[6]=dat/10%10;

led[5]=dat/100%10;

led[4]=dat/1000%10;

led[3]=dat/10000%10;

led[2]=dat/100000%10;

led[1]=dat/1000000%10;

led[0]=dat/10000000%10;

for(i=0;i<8;i++)

{

max_7219(i+1, led[i]);

}

}

//延时约1m秒

voiddelay_ms(uint n)

{

uchar j;

while(n--)

for(j=0;j<120;j++);

}

//主函数

main()

{

unsigned int f,n,j;

delay_ms(500);

Init_Max7219();//初始化7219

Disp7219(000);

Init_Timer0();

step=18;

EA = 1;

while(1)

{

if(key0 == 0) n=n+1;

if(n==2)n=0;

if(key1==0) j=j+1;

if(j==4) j=0;

if(n==0 && j == 0 &&key2 == 0) if(step<180) step+=18; //个位增

if(n==1 && j == 0 &&key2 == 0) if(step>18) step-=18; //个位减

if(n==0 && j == 1 &&key2 == 0) if(step<1800) step+=180;//十位增

if(n==1 && j == 1 &&key2 == 0) if(step>180) step-=180; //十位减

if(n==0 && j == 2 &&key2 == 0) if(step<18000) step+=1800;//百位增

if(n==1 && j == 2 &&key2 == 0) if(step>1800) step-=1800;//百位减

if(n==0 && j == 3 &&key2 == 0) if(step<54000) step+=18000;//千位增

if(n==1 && j == 3 &&key2 == 0) if(step>18000) step-=18000;//千位减

if(key3==0)flag=flag+1;if(flag==4)flag=0;

while((!key0)||(!key1)||(!key2)||(!key3));

f=step/18;

Disp7219(f);}//显示频率

}

// 定时中断服务

voidTimer0(void) interrupt 1 using 2

{

TH0 = 0xff;

TL0 = 0x00;

counter = counter + step;

DAC=type[flag][(unsignedint)counter>>8];

}

⑸ CSU32P20单片机怎样输出PWM方波

摘要 不管上面我写的PWM模块代码还是其他的情况,我们不需要完完整整的知道从头到尾怎么写,我们要做的是在能够灵活的利用这些基础的代码,并在这个基础上进行修改实现更复杂的代码。

⑹ 如何用单片机指令实现一定频率和占空比的矩形方波

产生25khz,占空比为25%的矩形波,即:
输出高电平10us,输出低电平30us。

时间太短,用定时器定时,并不方便。
用 NOP 指令延时,程序如下:

LOOP:
SETB P1.0
NOP
NOP
NOP

;…………总共8个NOP

CLR P1.0
NOP
NOP
NOP

;…………总共26个NOP

JMP LOOP

END

⑺ 单片机输出方波,观测到方波有抖动,C程序很简单,求分析

看到了你的程序和插图。
你是打算让T0在36个机器周期(大概是36us)中断一次,输出反相的波形。
但是,中断,并不是严格按照机器周期的个数来发生的。

当主程序执行的时候,是一条一条的汇编语言指令,有些的指令是两个机器周期的。
如果在执行“两个机器周期的指令”期间,中间发生了定时器溢出,中断并不会立即发生。
要等到“两个机器周期的指令”执行完了,才会去执行中断程序。

根据主程序当时的不同指令,中断申请到中断程序的进入,往往有3~8个机器周期。
这个数据,是有资料可以证明的。
当执行对中断系统操作的指令时,耽误的时间最长。

楼主看到的现象,其实是很正常的。

⑻ 如何实用单片机做出不规则的方波

是类似下面这种波形么:
__ ____ ______ __
___| |_____| |________| |_| |____

如果是这种的话,设置定时器为PWM模式,更改寄存器中周期、高电平时间、低电平时间的值,就可以输出以上波形了

⑼ 用单片机利用dac0832产生三角波方波和正弦波

首先需要建立一个产生三角波、方波和正弦波的波表,然后定时将波表里的数据通过端口刷新至dac0832,然后0832的模拟输出端口即可输出想要的波形,通过控制波表数值的刷新频率即可调整输出频率。

阅读全文

与32单片机输出方形波相关的资料

热点内容
千锋3g学院android 浏览:443
linux中的yum命令 浏览:239
压缩面膜有几种 浏览:573
怎么更改安卓程序级别 浏览:393
安卓系统运行慢怎么办呢 浏览:808
外地人在买车本地可以解压嘛 浏览:907
相册软件加密怎么取消 浏览:251
麦克风app怎么打开 浏览:22
java泛型t和 浏览:356
计算机英文pdf 浏览:587
单片机控制的直流调速系统 浏览:126
抖音上解压视频书单号怎么做 浏览:165
软件加密之后忘了密码怎么办 浏览:944
文件夹怎么弹出来的 浏览:209
51单片机引脚图电路 浏览:214
麦当劳员工怎么登录app 浏览:530
目前什么系统编程语言最好 浏览:488
破晓传说未加密 浏览:450
农信app里面怎么查收款明细 浏览:263
android打印小票 浏览:168