导航:首页 > 编程语言 > VGA在线编程

VGA在线编程

发布时间:2022-11-30 06:03:35

❶ 我家电视升级,还没升好,咋回事

什么叫升级?
当前的电视机智能化程度高,客户要求也越来越高,为了便于程序的开发,新型电视机控制系统一般把存放程序文件的ROM存储器既FLASH程序存储器外置,。因此与传统电视机不同的是,由于程序BUG造成的EEPROM校正将不存在,同时也不存在更换需要写入专用数据的E2PROM。但同时带来的问题就是FLASH的数据刷新,我们常称为升级。' i6 t, {6 V' i6 B0 N
电视升级就是PC主机通过专用的接口电路将bin或hex格式的数据在线写入到电视机的FLASH中。目前电视机内MCU接口电路主要分RS232、TTL、I2C总线三种,接口电路就是负责建立PC与电视机 MCU之间的硬件连接关系,即我们所说的升级工装。! _; E: n1 s- Z' `' o2 n4 }
为什么要升级?
1、在设计之时不能完全预见以后的使用环境,比如某些非标信号出现无伴音、无彩色、出现干扰白边等等。
2、有时应大客户要求在不改变硬件电路的情况下增减某些特殊功能,比如酒店或者专业场所需要专用开机LOGO,或者隐藏搜索菜单,或者显示酒店电话等等,此时就需要进行软件升级来达到使用要求。
3、维修平板时经常要代换主板,而主板针对的屏不同 除了上屏电压不同flash程序也不同,我们经过硬件电压修改后往往还要升级程序。
升级有哪些方法?
通常有两种,一是通过相应的升级平台和工装将数据在线写入flash,二是将flash拆下来 ,直接用编程器烧录进去。

升级需要一些什么东西?具有windows操作系统的电脑(主机最好同时带有25针并口、9针串口和USB接口)、升级工装(最伤脑筋的东东)、连接数据线、升级平台软件和升级数据文件。

为什么要接口工装?因为计算机的控制端口输出信号与电视机能够接收的信号存在幅度和格式有所不同(电视机本身带有RS232接口的除外),要进行信号幅度与格式的匹配,必须使用一个转接装置,通常称为升级工装。

电脑是和电视通过什么方式连接通讯?计算机到升级工装的联接一般使用RS232口(也称COM口,九针串口)或打印口(也称LPT口,25针并口),升级工装到电视机一般使VGA口,升级工装电源一般直接从USB口取得。
在电视机内部,电视核心控制芯片在设计之初都预留有在线写程端口,通常由两个引脚构成:
RXD 写程控制输入,由计算机输入到电视机主芯片的控制信号。
TXD 写程控制输出,由电视机返回计算机的控制信号,完成写程的闭环控制和数据校验。
除此之外还需要一个参考“地”电位,以保证数据信号的正常传输,即计算机至电视机
的一根公共地线。所有信号都是“地”电位为参考的。部分早期电视还有一个写程控制线(下面DS/ES/CS实际操作中短接插针就是这种意义),用来进行切换ISP写程(烧写FLASH)或在DDC下写E-IDE(电视身份数据)数据,现在的电视机一般已不再使用。
上述四个用来传输数据的引线,一般和电视机外部信号输入接口进行复用,既达到不拆机进行在线写程的目的,又可以不增加额外的端口,在大部分机芯上,在线升级服务端口和VGA(或DVI)进行复用,具体针脚定义为VGA插座的12脚(DDC的SDA)为RXD;15脚(DDC的SCL)为TXD;4脚(VGA信号的空脚)为ISP和DDC的切换控制,高电平时ISP写程低电平时写DDC的E-IDE数据。目前的电视机已不使用此脚。

国内各种品牌机器升级接口定义:
康佳VGA插座12脚为TXD,15脚RXD ,康佳PD32ES33同时4脚为TXD,11脚RXD ,见图纸

福建冠捷AOC机型在升级时VGA插座的4脚为TXD,11脚RXD,此两脚在VGA下通常为空脚;见下图:

深圳唯冠 VGA插座在升级有两种方式:1、11脚为DDC-SW(大部分机器已经废止),12脚为RXD,15脚为TXD,如OEM的长虹LT3769; 1、VGA插座的12脚为TXD,15脚为RXD,如OEM的康佳LC32CS31和长虹LT3269,二者除了LOGO不同,其他全部一样。

自制升级工装比较简单,喜欢动手的朋友自己完全可以DIY一个,总成本不过几元钱,下面就将升级专用连接线制作方法及工装制作方法分析如下:
通用串口线(九针):一端公头、一端母头,2针直通,3针直通。金属外壳直通(公共地)。共三根连接线,线长约1米,太长易受干扰,太短不便使用,针脚编号一般在针脚旁边都有标注,以下同。
通用VGA线(15针):两端公头,4针连通,12针连通,15针连通,5针连通(公共地)。
通用LPT线(25针):一端公头,一端母头。9针直通,11针直通,12针直通,15针直通,17针直通,外壳连通。

HDCP-KEY 针对HDMI接口的一个协议数据 保存在液晶电视上的一个专用存储器里面 可以更新的,目前没有什么实际意义 。就是该协议数据损坏 机器也照样可正常工作 了不起HDMI接口无法使用

看看相关知识:HDCP(High -bandwidth Digital Content Protection):高带宽数字内容保护技术。HDTV(高清电视)时代即将来临,为了适应高清电视的高带宽,出现了HDMI。HDMI是一种高清数字接口标准,它可以提供很高的带宽,无损地传输数字视频和音频信号。为了保证HDMI或者DVI传输的高清晰信号不会被非法录制,就出现了HDCP技术。HDCP技术规范由Intel领头完成,当用户进行非法复制时,该技术会进行干扰,降低复制出来的影像的质量,从而对内容进行保护。

❷ 怎么用根VGA的线刷显示屏

需要VGA编程器
将编程器连到要刷机的显示器VGA口,加电开机,然后操作编程器刷写程序即可。

❸ C语言中vga和VGAHI是是什么意思

vga是video graphics array(视频图形阵列适配器)的缩写,是C语言所支持的一种显示器适配器.
VGAHI是vga的一种显示模式,为640*480的高分辨率显示方式.
另外,vga还有640*350的中分辨率显示方式(VGAMED),640*200的低分辨率显示方式(VGALO).

❹ 跪求专业大神作答:VGA显示学号控制电路问题(硬件编程问题,具体要求如下)

问题4:

如果它不能正常显示模式=线取掉

改变:驱动程序=检测

initgraph(“&驱动器,及模式,”.. \ \ BGI) ;

注意:\ \ BGI是您的计算机c + +编程软件BGI一般默认路径为.. \ \ BGI

主题:学习putpixel画点。

1。程序分析:。

2源代码。

的#include“stdio.h中”

的#include“graphics.h的”

的main()

{

INT I,J,司机= VGA接口,模式= VGAHI ;

initgraph(&驱动器,及模式,“”);

setbkcolor(黄色);

就(i = 50; I <= 230,我+ = 20)

为(J = 50;<= 230; J + +)

putpixel(I,J,1);

为(J = 50;<= 230,J + = 20)就(i = 50; I <= 230; i + +)

putpixel(I,J,1);

}

主题:画椭圆椭圆

1方案分析:。

2源代码。

的#include“stdio.h中”

#包括“图形。H”

的#include“conio.h的”

的main()

{

整型X = 360,Y = 160,驱动器= VGA接口,模式= VGAHI;

整型数= 20,I;

整数顶部,底部;

initgraph(&驱动器,与模式“,” );

顶级= Y-30;

底= Y -30;

就(i = 0;我<民,我+ +)

{

椭圆(250,250,0,360,顶部,底部);

顶= 5;

底+ = 5;

}

的getch();

}

主题:使用椭圆和矩形绘图。 。

1程序分析:

2源代码。

的#include“stdio.h中”

的#include“graphics.h的”

的#include“conio.h的”

的main()

{

整型驱动程序= VGA接口,模式= VGAHI;

INT I,编号= 15,顶部= 50;

整数左边= 20,右边= 50;

initgraph(&驱动器,及模式,“ “);

就(i = 0;我<民,我+ +)

{

椭圆(250,250,0,360,右,左);

椭圆(250,250,0,360 ,20,顶部);

矩形(20-2 * I ,20-2 * I 10 *(I 2),10 *(I 2));

右+ = 5;

左+ = 5;

上衣+ = 10;

}

的getch();

}

主题:其中一个最美丽的设计。 。

1程序分析:

2源代码。

的#include“graphics.h的”

的#include“math.h中”

#包括“DOS.H”

的#include“conio.h的”

#包括“stdlib.h中”

的#include“stdio.h中”

#包括“stdarg头文件。H”

#定义MAXPTS 15

#定义PI 3.1415926

结构分{

整数的x,y;

};

双AspectRatio = 0.85;

无效LineToDemo(无效)

{

结构viewporttype副总裁; 结构PTS点[MAXPTS];

INT I,J,H,W,X中心值,ycenter;

整数半径,角度,步骤;

双拉德;

printf(“请MOVETO / lineTo的示范“);

getviewsettings(&VP);

H = VP。底部 - vp.top;

W = vp.right - vp.left;

X中心值= W / 2; / *判断圆* /

ycenter = H / 2的中心; 半径=(H - 30)/(AspectRatio * 2);

步= 360 / MAXPTS; / *判断#增量* /

角= 0; / *开始在零摄氏度* /

就(i = 0; I <MAXPTS; + I){/ *判断圆拦截* /

拉德=(双)角* PI / 180.0; / *转换角度为弧度* /

点[I] X = X中心值+(int)的(COS(拉德)*半径);

点[I] Y = ycenter - (int)的(罪(拉德)*半径* AspectRatio .. );

角度+ =步骤; / *移动到下一个增量* /

圆(X中心值,ycenter,半径); / *绘制边界圆* /

为( I = 0我“MAXPTS; + I){/ *绘制线到圆* /

为(J =;<MAXPTS; + + J){/ *对于每个剩余的相交* /

MOVETO(点由[i]×,点[I] Y ..); / *移动到的线* /

lineTo的开始(..点[J]×,点[J] Y) ; / *绘制的线* /

}}}

的main()

{诠释驱动程序,模式;

驱动程序= CGA;模式= CGAC0;

initgraph(与司机,及模式,“”);

的setColor(3);

setbkcolor(绿色);

LineToDemo();}

主题:?绘画,画派与圆轮。 。

1程序分析:

2源代码。

/ *循环* /

的#include“graphics.h的”

的main()

{诠释驱动程序,模式,I;

持股量J = 1,K = 1;

驱动程序= VGA;模式= VGAHI;

initgraph(&驱动器,及模式,“”);

setbkcolor(黄色);

就(i = 0;我<= 25; i + +)

{

的setColor(8);

圆(310,250,K);

K = K + J;

当J = J +0.3;

}

}

主题:绘画,学习用线画一条直线。

1程序分析:

2源代码:..

的#include“graphics.h的”

的main()

{诠释驱动程序,模式,I;

持股量X0,Y0,Y1,X1;

持股量J = 12,K;

驱动程序= VGA;模式= VGAHI;

initgraph(&驱动器,及模式,“”);

setbkcolor(绿色);

X0 = 263; Y0 = 263; Y1 = 275; X1 = 275;

就(i = 0; I <= 18; i + +)

{

的setColor(5);

线( X0,Y0,X0,Y1);

X0 = X0-5;

Y0 = Y0-5;

X 1 = X 5;

Y1 = Y1 5; 当J = J +10;

}

X0 = 263; Y1 = 275; Y0 = 263;

就(i = 0; I {

的setColor(5);

线(X0,Y0,X0,Y1);

X0 = X0 +5;

Y0 = Y0 +5; Y1 = Y1-5;

}

}

主题:素描,绘画学校,一个长方形广场。

1方案解析:100-999用于闭环控制数和各比特数分解,十,百。

2的源代码:

的#include“graphics.h的”

的main()

{诠释X0,Y0,Y1,X1,驱动程序,模式,I; 驱动程序= VGA;模式= VGAHI;

initgraph(&驱动器,及模式,“”);

setbkcolor(黄色);

X0 = 263; Y0 = 263; Y1 = 275; X1 = 275;

就(i = 0; I <= 18; i + +)

{

的setColor(1);

矩形(X0,Y0,X1 ,Y1);

X0 = X0-5;

Y0 = Y0-5;

X1 = X1 +5;

Y1 = Y1 +5;

} settextstyle(DEFAULT_FONT,HORIZ_DIR,2);

outtextxy(150,40,“好美啊!”);

线(130,60,480,60);

的setColor( 2);

圆(269269137);

}

主题:素描,全面的例子。 。

1程序分析:

2源代码。

#定义PAI 3.1415926

#定义了B 0.809

的#include“graphics.h的”

的#include“math.h中”

的main()

{

INT I,J,K,X0,Y0,X,Y,驱动程序,方式;

浮起;

驱动程序= CGA;模式= CGAC0;

initgraph(&驱动器,&模式,“”);

的setColor(3);

setbkcolor(绿色);

X0 = 150; Y0 = 100;

圈(X0,Y0,10) ;

圈(X0,Y0,20);

圈(X0,Y0,50);

就(i = 0; I <16; i + +)

{

一=(2 * PAI/16)* I;

X = CEIL(X0 +48 * COS(a)条);

为y = CEIL(Y0 +48 *罪(一) * B);

的setColor(2);线(X0,Y0,X,Y);}

的setColor(3);圈(X0,Y0,60);

/ *使0时间正常大小字母* /

settextstyle(DEFAULT_FONT,HORIZ_DIR,0);

outtextxy(10,170,“按一键”);

的getch();

setfillstyle(HATCH_FILL ,黄色);

FLOODFILL(202,100,白色);

的getch();

为(K = 0,K <= 500,K +)

{的setColor(3);

就(i = 0; I <= 16; i + +)

{

一=(2 * PAI/16)* I +(2 * PAI / 180)* K;

X = CEIL(X0 +48 * COS(a)条);

为y = CEIL(Y0 +48 + SIN(A)* B);

的setColor( 2);线(X0,Y0,X,Y);

}

为(J = 1;<= 50; J + +)

{

为a =( 2 * PAI/16)* I +(2 * PAI/180)* k-1个;

X = CEIL(X0 48 * COS(一));

为y = CEIL(Y0 48 * SIN(A)* B);

线(X0,Y0,X,Y);

}

}

restorecrtmode();

} 主题:素描,全面的例子。 。

1程序分析:

2源代码。

的#include“graphics.h的”

#定义左0

#定义TOP 0

#定义右639

#定义BOTTOM 479

#define语句400

#定义MAXCOLOR 15

的main()

{

整数驱动程序,模式,错误;

整数X1,Y1;

整数X2,Y2;

整数DX1,DY1,DX2,DY2,I = 1;

诠释计数= 0;

整型色= 0;

驱动程序= VGA;

模式= VGAHI ;

initgraph(&驱动器,及模式,“”);

X 1 = X = Y1 = Y2 = 10;

DX1 = DY1 = 2;

DX2 = DY2 = 3 ;



{

线(X1,Y1,X2,Y2)(的kbhit()!);

X1 + = DX1; Y1 + = DY1;

X2 + = DX2; Y2 + DY2;

如果(X1 = RIGHT)

DX1 = - DX1;

如果(Y1 =底部)

DY1 =-DY1;

如果(X2 = RIGHT)

DX2 =-DX2;

如果(Y2 = BOTTOM)

DY2 =-DY2;

如果(+ +计数>线)

{

的setColor(彩色);

颜色=(颜色> = MAXCOLOR )0:? + +的颜色;

}

}

closegraph();
}

❺ 求大神帮我翻译一下这一段FPGA的编程语言~ 关于VGA显示的

entity vga is
Port ( clk : in STD_LOGIC;
clr : in STD_LOGIC;
hsync : out STD_LOGIC;
vsync : out STD_LOGIC;
RGB : out STD_LOGIC_VECTOR (2 downto 0));
end vga;
architecture Be

havioral of vga is
signal h_cnt,v_cnt : integer:=0; //中间变量申明;
signal q : std_logic_vector(2 downto 0) :="000";
signal h,v : std_logic :='0';
begin
process(clk,clr,h_cnt,v_cnt)
begin // 这个进程是对行和场的计数
if clr='1' then
h_cnt<=0; v_cnt<=0;
elsif clk'event and clk='1' then //时钟信号上升沿有效
h_cnt<=h_cnt+1; //行计数
if h_cnt=1039 then
if v_cnt<665 then
h_cnt<=0; v_cnt<=v_cnt+1; //场计数
elsif v_cnt=665 then //一帧结束
h_cnt<=0; v_cnt<=0;
end if;
end if;
end if;
end process;

process(clk,h_cnt) 此进程对行同步信号进行赋值
begin
if clk'event and clk='1' then
if h_cnt<120 then
h<='1';
else h<='0';
end if;
end if;
end process;

process(clk,v_cnt) 此进程对场同步信号进行赋值
begin
if clk'event and clk='1' then
if v_cnt<6 then
v<='1';
else v<='0';
end if;
end if;
end process;

process(clk,clr,h_cnt,v_cnt)
begin
if clr='1' then
q<="000";
elsif (h_cnt>=184 and h_cnt<984 and v_cnt>=29 and v_cnt<629) then //工作区域
if (h_cnt>=184 and h_cnt<284) then //进行区域颜色赋值
q <= "000";
elsif (h_cnt>=284 and h_cnt<384) then
q <= "001";
elsif (h_cnt>=384 and h_cnt<484) then
q <= "010";
elsif (h_cnt>=484 and h_cnt<584) then
q <= "011";
elsif (h_cnt>=584 and h_cnt<684) then
q <= "100";
elsif (h_cnt>=684 and h_cnt<784) then
q <= "101";
elsif (h_cnt>=784 and h_cnt<884) then
q <= "110";
elsif (h_cnt>=884 and h_cnt<984) then
q <= "111";
else q<="000";
end if;
else q<="000";
end if;
end process;
RGB<=q; hsync<=h; vsync<=v;

end Behavioral;

❻ c语言 对VGA 编程。vga有哪些端口,功能是什么

绘图的初始化
gd 是 用开选择图形驱动
gm 是 选择绘图模式,就是分辨率

gd=VGA 是选择 VGA,现在基本没有 非VGA显卡了
另外,站长团上有产品团购,便宜有保证

❼ 现在电视无VGA接口RT809F怎么写程序

手动设置VGA线序,选择芯片型号,然后读取,最后保存。


编程器的VGA ISP接口通过VGA线和板子正确连接并接好供电,打开软件到主界面,设置VGA线序。


在读取完成以后,点击“保存”按钮,保存文件。填写文件名称时,文件名尽可能详细,包含厂商、板号、屏型号、主芯片型号、存储器型号。

阅读全文

与VGA在线编程相关的资料

热点内容
易语言在线编译 浏览:111
unityandroid游戏开发教程 浏览:93
android去掉虚拟按键 浏览:872
内地激情戏多的电影 浏览:42
更新最快的电视剧电影网 浏览:263
剑三宏设置命令 浏览:245
3C语言编译器 浏览:170
我的世界基岩版怎么加入tis服务器 浏览:390
php论坛模板 浏览:908
找个免费看电影的网站 浏览:372
程序员怎么接手别人遗留的代码 浏览:752
瞬变pdf 浏览:307
php开发仓库管理系统 浏览:688
12米小孩自己看电影 浏览:676
丧尸电影全部 浏览:660
go编译器选择 浏览:448
天正门窗总表命令 浏览:257
pdf阅读器编辑 浏览:514
sp古风训诫细致 浏览:857
android广播启动服务器 浏览:902