导航:首页 > 编程语言 > pld可编程原理

pld可编程原理

发布时间:2023-01-24 06:09:04

❶ PLC 和PLD分别表示什么他们之间有什么关系

1、"PLD"-可编程逻辑器件:

它是做为一种通用集成电路生产的,逻辑功能按照用户对器件编程来设计。

目前使用的PLD产品主要有:

①现场可编程逻辑阵列FPLA。

②可编程阵列逻辑PAL。

③通用阵列逻辑GAL。

④可擦除的可编程逻辑器件EPLD。

⑤现场可编程门阵列FPGA.其中EPLD和FPGA的集成度比较高。有时又把这两种器件称为高密度PLD。


2、"PLC"-可编程逻辑控制器:

是一种专门为在工业环境下应用而设计的数字运算操作的电子装置。

它采用可以编制程序的存储器,用来在其内部存储执行逻辑运算、顺序运算、计时、计数和算术运算等操作的指令,并能通过数字式或模拟式的输入和输出,控制各种类型的机械或生产过程。

PLC及其有关的外围设备都应该按易于与工业控制系统形成一个整体,易于扩展其功能的原则而设计。


3、PLC 和PLD的关系:PLC可编程控制器也是计算机家族中的一员,它是为工业控制应用而设计制造的 。


(1)pld可编程原理扩展阅读:


1、PLC 工作原理:


当可编程逻辑控制器投入运行后,其工作过程一般分为三个阶段,即输入采样、用户程序执行和输出刷新三个阶段。完成上述三个阶段称作一个扫描周期。在整个运行期间,可编程逻辑控制器的CPU以一定的扫描速度重复执行上述三个阶段。


①输入采样:


在输入采样阶段,可编程逻辑控制器以扫描方式依次地读入所有输入状态和数据,并将它们存入I/O映象区中的相应的单元内。输入采样结束后,转入用户程序执行和输出刷新阶段。在这两个阶段中,即使输入状态和数据发生变化,I/O映象区中的相应单元的状态和数据也不会改变。

因此,如果输入是脉冲信号,则该脉冲信号的宽度必须大于一个扫描周期,才能保证在任何情况下,该输入均能被读入。


②用户程序执行:


在用户程序执行阶段,可编程逻辑控制器总是按由上而下的顺序依次地扫描用户程序(梯形图)。

在扫描每一条梯形图时,又总是先扫描梯形图左边的由各触点构成的控制线路,并按先左后右、先上后下的顺序对由触点构成的控制线路进行逻辑运算,然后根据逻辑运算的结果,刷新该逻辑线圈在系统RAM存储区中对应位的状态。

或者刷新该输出线圈在I/O映象区中对应位的状态;或者确定是否要执行该梯形图所规定的特殊功能指令。


③输出刷新:


当扫描用户程序结束后,可编程逻辑控制器就进入输出刷新阶段。在此期间,CPU按照I/O映象区内对应的状态和数据刷新所有的输出锁存电路,再经输出电路驱动相应的外设。这时,才是可编程逻辑控制器的真正输出。


2、PLD分类:


①按集成度划分:


(1)低集成度芯片。早起出现的PROM、PAL、可重复编程的GAL都属于这类,可重构使用的逻辑门数大约在500门以下,称为简单PLD。


(2)高集成度芯片。如现在大量使用的CPLD、FPGA器件,称为复杂PLD。


②按结构划分:


(1)乘积项结构器件。其基本结构为“与-或”阵列的器件,大部分简单PLD和CPLD都属于这个范畴。


(2)查找表结构器件。由简单的查找表组成可编程门,再构成阵列形式。大多数FPGA是属于此类器件。


③按编程工艺划分:


(1)熔丝型器件。早期的PROM器件就是采用熔丝结构的,编程过程是根据设计的熔丝图文件来烧断对应的熔丝,达到编程和逻辑构建的目的。


(2)反熔丝型器件。是对熔丝技术的改进,在编程处通过击穿漏层使得两点之间获得导通,这与熔丝烧断获得开路正好相反。


(3)EPROM型。称为紫外线擦除电可编程逻辑器件,是用较高的编程电压进行编程,当需要再次编程时,用紫外线进行擦除。


(4)EEPROM型。即电可擦写编程软件,现有部分CPLD及GAL器件采用此类结构。它是对EPROM的工艺改进,不需要紫外线擦除,而是直接用电擦除。


(5)SRAM型。即SRAM查找表结构的器件,大部分FPGA器件都采用此种编程工艺,如Xilinx和Altera的FPGA器件。

这种方式在编程速度、编程要求上要优于前四种器件,不过SRAM型器件的编程信息存放在RAM中,在断电后就丢失了,再次上电需要再次编程(配置),因而需要专用的器件来完成这类配置操作。


(6)Flash型。Actel公司为了解决上述反熔丝器件的不足之处,推出了采用Flash工艺的FPGA,可以实现多次可编写,同时做到掉电后不需要重新配置,现在Xilinx和Altera的多个系列CPLD也采用Flash型。

❷ plc和pld的区别

可编程控制器是计算机家族中的一员,是为工业控制应用而设计制造的。早期的可编程控制器称作可编程逻辑控制器,简称PLC,它主要用来代替继电器实现逻辑控制。随着技术的发展,这种装置的功能已经大大超过了逻辑控制的范围,因此,今天这种装置称作可编程控制器,简称PC。但是为了避免与个人计算机的简称混淆,所以将可编程控制器简称PLC。
一、PLC的主要特点
1、高可靠性
2、丰富的I/O接口模块
3、采用模块化结构
4、编程简单易学
5、安装简单,维修方便
二、PLC的功能
1、逻辑控制
2、定时控制
3、计数控制
4、步进(顺序)控制
5、PID控制
6、数据控制:PLC具有数据处理能力。
7、通信和联网
8、其它:PLC还有许多特殊功能模块,适用于各种特殊控制的要求,如:定位控制模块,CRT模块。
PLD是可编程逻辑器件(ProgramableLogicDevice)的简称,FPGA是现场可编程门阵列(FieldProgramableGateArray)的简称,两者的功能基本相同,只是实现原理略有不同,所以我们有时可以忽略这两者的区别,统称为可编程逻辑器件或PLD/FPGA。
PLD是电子设计领域中最具活力和发展前途的一项技术,它的影响丝毫不亚于70年代单片机的发明和使用。PLD能做什么呢?可以毫不夸张的讲,PLD能完成任何数字器件的功能,上至高性能CPU,下至简单的74电路,都可以用PLD来实现。
PLD如同一张白纸或是一堆积木,工程师可以通过传统的原理图输入法,或是硬件描述语言自由的设计一个数字系统。通过软件仿真,我们可以事先验证设计的正确性。在PCB完成以后,还可以利用PLD的在线修改能力,随时修改设计而不必改动硬件电路。使用PLD来开发数字电路,可以大大缩短设计时间,减少PCB面积,提高系统的可靠性。
典型的PLD由一个“与”门和一个“或”门阵列组成,而任意一个组合逻辑都可以用“与一或”表达式来描述,所以,PLD能以乘积和的形式完成大量的组合逻辑功能.这一阶段的产品主要有PAL(可编程阵列逻辑)和GAL(通用阵列逻辑)。

❸ 请高人解释一下集成电路设计中 可编程逻辑器件设计(PLD)和现场可编程逻辑阵列设计(FPGA)和两者的区别

CPLD(Complex Programmable Logic Device)是Complex PLD的简称,一种较PLD为复杂的逻辑元件。
CPLD是一种用户根据各自需要而自行构造逻辑功能的数字集成电路。其基本设计方法是借助集成开发软件平台,用原理图、硬件描述语言等方法,生成相应的目标文件,通过下载电缆(“在系统”编程)将代码传送到目标芯片中,实现设计的数字系统。

发展历史及应用领域:
20世纪70年代,最早的可编程逻辑器件--PLD诞生了。其输出结构是可编程的逻辑宏单元,因为它的硬件结构
设计可由软件完成(相当于房子盖好后人工设计局部室内结构),因而它的设计比纯硬件的数字电路具有很强的灵活性,但其过于简单的结构也使它们只能实现规模较小的电路。为弥补PLD只能设计小规模电路这一缺陷,20世纪80年代中期,推出了复杂可编程逻辑器件--CPLD。目前应用已深入网络、仪器仪表、汽车电子、数控机床、航天测控设备等方面。

器件特点:
它具有编程灵活、集成度高、设计开发周期短、适用范围宽、开发工具先进、设计制造成本低、对设计者的硬件经验要求低、标准产品无需测试、保密性强、价格大众化等特点,可实现较大规模的电路设计,因此被广泛应用于产品的原型设计和产品生产(一般在10,000件以下)之中。几乎所有应用中小规模通用数字集成电路的场合均可应用CPLD器件。CPLD器件已成为电子产品不可缺少的组成部分,它的设计和应用成为电子工程师必备的一种技能。

如何使用:
CPLD是一种用户根据各自需要而自行构造逻辑功能的数字集成电路。其基本设计方法是借助集成开发软件平台,用原理图、硬件描述语言等方法,生成相应的目标文件,通过下载电缆(“在系统”编程)将代码传送到目标芯片中,实现设计的数字系统。

这里以抢答器为例讲一下它的设计(装修)过程,即芯片的设计流程。CPLD的工作大部分是在电脑上完成的。打开集成开发软件(Altera公司 Max+pluxII)→画原理图、写硬件描述语言(VHDL,Verilog)→编译→给出逻辑电路的输入激励信号,进行仿真,查看逻辑输出结果是否正确→进行管脚输入、输出锁定(7128的64个输入、输出管脚可根据需要设定)→生成代码→通过下载电缆将代码传送并存储在CPLD芯片中。7128这块芯片各管脚已引出,将数码管、抢答开关、指示灯、蜂鸣器通过导线分别接到芯片板上,通电测试,当抢答开关按下,对应位的指示灯应当亮,答对以后,裁判给加分后,看此时数码显示加分结果是否正确,如发现有问题,可重新修改原理图或硬件描述语言,完善设计。设计好后,如批量生产,可直接复制其他CPLD芯片,即写入代码即可。如果要对芯片进行其它设计,比如进行交通灯设计,要重新画原理图、或写硬件描述语言,重复以上工作过程,完成设计。这种修改设计相当于将房屋进行了重新装修,这种装修对CPLD来说可进行上万次。

FPGA是Field Programmable Gate Array的缩写,即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。

FPGA诞生于1985年,当时第一个FPGA采用2μm工艺,包含64个逻辑模块和85000个晶体管,门数量不超过1000个,由名为Ross Freema所发明,当时他所创造的FPGA被认为是一个不切实际发明,他的同事Bill Carter曾说:“这种理念需要很多晶体管,并且那时晶体管是非常珍贵的东西。”,所以人们认为Ross的想法过于脱离现实。但是Ross预计:根据摩尔定律(每18个月晶体管密度翻一翻),晶体管肯定会越来越便宜,因此它就越来越常见。在短短的几年内,正如Ross所预言的,出现了数十亿美元的现场可编程门阵列(FPGA)市场。但是可惜的是,他无法享受这一派欣欣向荣的景象,在1989年Ross Freeman就与世长辞了,但是它的发明却持续不断地促进电子行业的进步与发展。

我们都知道构成数字逻辑系统最基本的单元是与门、或门、非门等,而他们都是用三极管、二极管和电阻等元件构成,然后与门、或门、非门又构成了各种触发器,实现状态记忆,FPGA属于数字逻辑电路的一种,同样由这些最基本的元件构成。一个FPGA可以将上亿个门电路组合在一起,集成在一个芯片内,打破以往需要用庞大分立门电路元器件搭建的历史,不仅电路面积、成本大大减小,而且可靠性得到了大幅度的提升。

一般的FPGA内部是由最小的物理逻辑单位LE、布线网络、输入输出模块以及片内外设组成,所谓的最小物理逻辑单元是指用户无法修改的、固定的最小的单元,我们只能将这些单元通过互联线将其连接起来,然后实现用户特定的功能。一个LE由触发器、LUT以及控制逻辑组成,可以实现组合逻辑和时序逻辑;随着FPGA集成度的不断增加,其内部的片内外设也越来越多,内部可集成SRAM、Flash、AD、RTC等外设,真正实现单芯片解决整个系统功能的目的。所以我们所理解的FPGA最底层是一些实实在在的门电路构成,然后由门电路构成最小的物理逻辑单元,然后通过布线层将这些最小物理逻辑单元连接成用户需要的特定功能,我们所需要控制的是布线层之间的互连开关,这也是我们编程的对象,通过这些开关来改变功能。

当今的FGPA按工艺分主要有SRAM工艺和Flash工艺(工艺是针对它们的编程开关来说的)两类,SRAM工艺的FPGA最大的特点是掉电数据会丢失,无法保存,所以它们的系统除了一个FPGA以外,外部还需要增加一个配置芯片用于保存编程数据,每次上电的时候都需要从这个配置芯片将配置数据流加载到FPGA,然后才能正常的运行;但是Flash架构的FPGA掉电不会丢失数据,无需配置芯片,上电即可运行,它的特点非常类似ASIC,但是又比ASIC更加的灵活,可以重复编程。在一些小规模的公司或者产品量不是很大的时候往往更倾向于用FPGA来取代ASIC,不仅能够降低风险,而且能够降低成本。

1.2 为什么要学习FPGA?

FPGA从诞生以来,经历了从配角到主角的过程,从初期开发应用到限量生产应用再到大批量生产应用的发展历程。从技术上来说,最初只是逻辑器件,现在强调平台概念,加入数字信号处理、嵌入式处理、高速串行和其他高端技术,从而被应用到更多的领域,正因为其飞速的发展,让更多学FPGA的人看到了希望,其广阔的前景正是我们选择的原因之一。

1. 广阔的发展前景

据市场调研公司Gartner Dataquest预测,2010年FPGA和其它可编程逻辑器件(PLD)市场将从2005年的32亿美元增长到67亿美元,未来还将有不断往上增长的趋势。FPGA及PLD产业发展的最大机遇是替代ASIC和专用标准产品(ASSP),由ASIC和ASSP构成的数字逻辑市场规模大约为350亿美元。由于用户可以迅速对PLD进行编程,按照需求实现特殊功能,与ASIC和ASSP相比,PLD在灵活性、开发成本以及产品及时面市方面更具优势,所以未来FPGA将会是一个非常有前景的行业。

FPGA由于其结构的特殊性,可以重复编程,开发周期较短,越来越受人们的青睐,它的特点也更接近ASIC,ASIC比FPGA最大的优势是低成本,但是FPGA的价格现在也是越来越低,例如:Actel的Nano系列更是打破了FPGA的价格屏障,提供超过50种低于1美金的FPGA,在一定程度上已经可以与ASIC相抗衡。

根据当前发展的趋势,未来的FPGA势必将会取代一部分ASIC的市场,虽然根据摩尔定律(Moore’s Law):每18至24个月能在相同的单位面积内多挤入一倍的晶体管数,这意味着电路成本每18至24个月就可以减半,但这只是指裸晶(Die)的成本,并不表示整个芯片的成本减半,这是由于晶圆制造更前端的掩膜(Mask)成本、晶圆制造更后端的封装(也称为:构装、包装)成本、人力成本等都不会随摩尔定律而变化,反而芯片的成本有上升的趋势,所以过去许多中、小用量的芯片无法用先进的工艺来生产,对此不是持续使用旧工艺来生产,就是必须改用FPGA芯片来生产……

因此,未来的趋势告诉我们,FPGA将成为21世纪最重要的高科技产业之一,特别是国内的FPGA市场,更是一个“未开垦的处女地”,抓住现在的机遇意味着为我们将来的产品提供更多的竞争力。

2. 提供更多就业机会
虽然FPGA市场的广阔,但是FPGA的技术人员是极度地缺乏,其原因是还未得到高校的重视,很多学校都未开FPGA的课程,导致学生毕业后连什么是FPGA,什么是Verilog都不知道,失去了很多就业的机会。我们公司(广州单片机发展有限公司)这三年来跑遍了全国22个城市,每次宣讲会场里场外都站满了人,每个学生都渴望寻找一份好工作的心情由此可见一斑,但通过考试发现懂FPGA和Verilog的学生却寥寥无几,尽管我们每年都对招聘FPGA人才寄予了很大的希望,但每次都失望而归,深深地体会到招聘FPGA开发工程师困难重重。

由此可见在应届毕业生中熟练掌握FPGA的学生属于稀缺资源,然而企业为培养FPGA开发工程师无不付出沉重的代价,所以对于在校电类专业的学生来说,这就是打造个人差异化竞争力的机会,事实上只要掌握FPGA就能够找到一份薪水更好的工作。我们公司每次在考核员工时往往都会特别关注这些“特殊员工”的情况,一般来说这些员工的工作都会比其它岗位高500元,这就是学习FPGA的优势,但是很多人不曾完全意识到掌握FPGA技术的重要性。

当前受金融危机的影响,对学生的就业更是巨大的考验,据教育部的统计,2008年,全国普通高校毕业生达559万人,比2007年增加64万人,2009年高校毕业生规模达到611万人,比2008年增加52万人,如此多的大学生面临着就业的问题,如果不具备一定的技能,将会淹没在大学生的潮流之中而找不到理想的工作,而学习FPGA可以帮助学生多一技之长,大大提高就业的机会。

3.具有更大的技术扩展空间
我们都知道,以前IC半导体产业一直是国内比较薄弱的产业,与国外的发展步伐相比还差甚远,我们所用的IC大部分都来自欧美地区,国内拥有自主产品的IC技术不多,多半需要引进国外先进的IC设计技术,但是自2000年以来,中国大陆的IC设计企业如雨后春笋般迅速涌现,企业数量5年增加了4倍多,2005年已经达到500多家,销售收入过亿元人民币的设计企业达到17家,其中两家超过5亿元。概括地讲,中国的IC设计公司可以分为四类,第一类是国有IC设计公司,一般是承担政府研发任务的研究所转制后设立;第二类是由系统厂商的设计部门独立出来的IC设计公司;第三类是民营IC设计公司,以海归型为主;最后一类是外资IC设计公司。

所以IC设计也是未来发展的一个重点方向,将会是国家大力扶持的产业之一,而IC的设计人员所必须掌握的是FPGA的技术,在芯片流片之前都是通过FPGA来进行前期的设计验证,用的语言也是FPGA的设计语言,只是在后端的设计中才用到IC设计的特定技术,所以IC设计人员必定是懂得FPGA设计的人,掌握FPGA的技术是通往IC设计殿堂的必经之路,学习FPGA有助于给我们更大的技术扩展空间。

1.3 怎样学FPGA?
既然FPGA对我们如此的重要,那对于初学者的我们又应该如何去学呢?学习一样知识应该有好的老师教导,我们才能更快的掌握,可惜的是大部分的学校未开相关的课程,也缺少相关专业的老师,我们如何能够找到一个捷径或方法帮助我们学会这么极具竞争力的技术,让我们通向成功的殿堂呢?笔者觉得应该需要有步骤,有目的、循序渐进地掌握相关的技术,我们公司从原来的1人的FPGA团队,发展到如今30人左右的FPGA团队,有着一些成长的经历和经验,也希望在此能与大家一起分享。

1. 掌握FPGA编程语言
在学习一门技术之前我们往往从它的编程语言开始,就如学习单片机一样,我们从C语言开始,掌握了C语言,开发单片机就不是什么难事了。学习FPGA也是如此,FPGA的编程语言有两种:VHDL和Verilog,这两种都适合用于FPGA的编程,VHDL比Veirlog早出现,由美国的军方组织开发,在1987年成为了IEEE的标准;Verilog则是由民间一家普通的公司私有财产转化而来,基于其优越性,在1995成为了IEEE标准。VHDL在欧地区应用的较为广泛,而Verilog在中国、美国、日本、台湾等地应用较为广泛,笔者比较推崇的是Verilog,因为它非常易于学习,很类似于C语言,如果具有C语言基础的人,只需要花很少的时间便能掌握Verilog,而VHDL较为抽象,学习需要一段较长的时间。

如果是学生,学习Verilog最好的时期是在大学二年级,与数字电路同步学习,不仅能够理解数字电路实现的方式,更能通过FPGA将数字电路得以实现,笔者发现华中科技大学康华光教授主编的《电子技术基础(数字部分)》非常好,可以说是一本与时俱进的教材,在其中介绍了Verilog语言,并且在每一章的最后一节都介绍了如何使用Verilog建模实现相关数字电路的内容,非常适合大二学习FPGA的学生,本书同样以《电子技术基础(数字部分)》为背景,并与该书进行配套同步,在它的基础上进行了升华和改进,源于它而又高于它,所以也可以同步学习。大三、大四的学生还可以进一步将Verilog进行强化,学习北京航天航空大学的夏宇闻教授编写的《Verilog数字系统设计教程(第二版)》可以比较全面地、详细地掌握Verilog的基本语法,对大二学习的内容进一步的巩固和强化。

如果是其他初学者,可以直接借助《Verilog数字系统设计教程(第二版)》和本书即能对Verilog的语法进行全面的掌握。这是学习FPGA的第一步,也是必不可少的一步。

2. 一个易学易用的硬件平台是成功的一半
除了学习编程语言以外,更重要的是实践,将自己设计的程序能够在真正的FPGA里运行起来,这时我们需要一个硬件平台的支持,然后以前的FPGA硬件平台的价格让很多的初学者望而却步,上千元的价格并不是一般的初学者(特别是学生)能够承受的,而且不易学习。针对这样的现状,也是为了回馈社会,帮助更多想学FPGA又没有经济能力的爱好者,广州周立功单片机发展有限公司开发了一套低成本的FPGA开发套件,售价仅99元,即使是学生也是完全能够承受得起,这款开发套件可以说是根本不赚钱,我们不仅要提供硬件电路,我们还得配套提供一系列教程资料。

过去的一年来,我们一共投入了4位开发工程师围绕EasyFPGA030开展工作,翻译全部开发工具软件技术资料,先自己吃透然后再根据自己的理解、实践和多次讨论,将技术资料通俗化,并且录制了第一个“Actel FPGA快速入门视频教程”供初学者免费下载,便于初学者快速入门,当第一版做出来销售1000套之后,才发现初学者的焊接经验不足,于是又开始设计第二版,这就是目前大家在网站上见到的一体化EasyFPGA030开发学习板。为了能够带给大家最准备、最权威的知识,我们还请了国内第一个EDA创始人之一的夏宇闻教授给我们进行Veirlog的培训,培训完后我们制作一系列Veirlog视频教程和PPT供初学者学习,同样免费提供给大家。同时,我们和夏老师一起共同合作编写了本书,目的是希望能够以最快的速度帮助初学者入门,另外我们还有一个30人的团队全面的提供FPGA的技术支持和售后服务,解决用户的后顾之忧。

所以通过EasyFPGA030的平台学习,不仅节约了前期学习的成本,而且该套件详实的资料使得非常的易用易学,对于初学者来说是一个不可多得的FPGA开发平台。

3. 技术进行巩固和升华
对于初学者来说,有了一定基础后,应该将其继续的巩固和升华,笔者认为竞赛是学生进行验证所学知识很好的舞台,不仅能够锻炼学生的动手能力,而且能够发挥学生的创造力和想象力。

广州周立功公司已经成功举办了两届“Actel杯全国大学生FPGA电子竞赛”,参加的队伍分别是100队和300队,每支队伍都将免费获得价值1480元的一套FPGA开发套件作为竞赛的平台,竞赛完后该套件无需退回,而且设置了最高5000元的奖金,这种举措对公司来说只有投入,很难看到产出,但是我们还坚持做了,主要是想给学生提供施展才华的舞台,让更多的人了解FPGA,学会FPGA,2009年我们又将启动了第三届竞赛,将队伍扩大到1000支,给更多的人提供机会,我们的目标就是要将创新教育实践活动进行到底,培养出一批又一批适合企业发展的人才。

1.4 小结
综上所述,我们只有了解了什么是FPGA,为什么要学习FPGA,怎么学习FPGA后,我们才能非常有目的、有计划的去掌握这门技术,我相信通过我们的共同努力,一定能够培养出一批又一批优秀的FPGA人员。

❹ PLD和PLC分别表示什么

可编程逻辑器件("PLD")
可编程控制器
("PLC")
PLD即“可编程序逻辑器件”,从其名称即可看出其用途,用户可通过对PLD器件进行编程以实现所需功能。也可以这样说,PLC是一种可编程的“装置”,PLD则是一种可编程的“器件”。

❺ 传统的PLD是什么

http://www.pld.com.cn/introction1.htmPLD是可编程逻辑器件(Programable Logic Device)的简称,FPGA是现场可编程门阵列(Field Programable Gate Array)的简称,两者的功能基本相同,只是实现原理略有不同,所以我们有时可以忽略这两者的区别,统称为可编程逻辑器件或PLD/FPGA。

PLD是电子设计领域中最具活力和发展前途的一项技术,它的影响丝毫不亚于70年代单片机的发明和使用。

PLD能做什么呢?可以毫不夸张的讲,PLD能完成任何数字器件的功能,上至高性能CPU,下至简单的74电路,都可以用PLD来实现。PLD如同一张白纸或是一堆积木,工程师可以通过传统的原理图输入法,或是硬件描述语言自由的设计一个数字系统。通过软件仿真,我们可以事先验证设计的正确性。在PCB完成以后,还可以利用PLD的在线修改能力,随时修改设计而不必改动硬件电路。使用PLD来开发数字电路,可以大大缩短设计时间,减少PCB面积,提高系统的可靠性。 PLD的这些优点使得PLD技术在90年代以后得到飞速的发展,同时也大大推动了EDA软件和硬件描述语言(HDL)的进步。

如何使用PLD呢?其实PLD的使用很简单,学习PLD比学习单片机要简单的多,有数字电路基础,会使用计算机,就可以进行PLD的开发。不熟悉PLD的朋友,可以先看一看可编程逻辑器件的发展历程。

开发PLD需要了解两个部分:1.PLD开发软件 2.PLD本身

1.PLD开发软件

由于PLD软件已经发展的相当完善,用户甚至可以不用详细了解PLD的内部结构,也可以用自己熟悉的方法:如原理图输入或HDL语言来完成相当优秀的PLD设计。所以对初学者,首先应了解PLD开发软件和开发流程。了解PLD的内部结构,将有助于提高我们设计的效率和可靠性。

如何获得PLD开发软件软件呢? 许多PLD公司都提供免费试用版或演示版(当然商业版大都是收费的),例如:可以免费从www.altera.com 上下载Altera公司的 QuartusII (web版),或向其代理商索取这套软件。Xilinx 公司也提供免费软件:ISE WebPack,这套可以从xilinx网站下载。Lattice 提供isplever Base版下载,Actel等公司也都有类似的免费软件提供。以上免费软件都需要在网上注册申请License文件,如果您对License的安装还有不清楚,请仔细阅读相关网页上的说明,也可以下载这篇文档:EDA软件的license管理与安装。 通常这些免费软件已经能够满足一般设计的需要,当然,要想软件功能更强大一些,只能购买商业版软件。

如果您打算使用VHDL或Verilog HDL硬件描述语言来开发PLD/FPGA,通常还需要使用一些专业的HDL开发软件,这是因为FPGA厂商提供的软件的HDL综合能力一般都不是很强,需要其他软件来配合使用,详细情况可以通过浏览:开发软件栏目获得等多信息.

对于PLD产品,一般分为:基于乘积项(Proct-Term)技术,EEPROM(或Flash)工艺的中小规模PLD,以及基于查找表(Look-Up table)技术,SRAM工艺的大规模PLD/FPGA。EEPROM工艺的PLD密度小,多用于5,000门以下的小规模设计,适合做复杂的组合逻辑,如译码。SRAM工艺的PLD(FPGA),密度高,触发器多,多用于10,000门以上的大规模设计,适合做复杂的时序逻辑,如数字信号处理和各种算法。如希望进一步了解PLD/FPGA结构与原理,请点击此处。

目前有多家公司生产CPLD/FPGA,最大的三家是:ALTERA,XILINX,Lattice, 您可以参阅PLD厂商栏目获得更多信息

2.PLD/FPGA的分类和使用

在PLD/FPGA开发软件中完成设计以后,软件会产生一个最终的编程文件(如 .pof )。如何将编程文件烧到PLD芯片中去呢?

1.对于基于乘积项(Proct-Term)技术,EEPROM(或Flash)工艺的PLD(如Altera的MAX系列,Lattice的大部分产品,Xilinx的XC9500,Coolrunner系列), 厂家提供编程电缆,电缆一端装在计算机的并行打印口上,另一端接在PCB板上的一个十芯插头,PLD芯片有四个管脚(编程脚)与插头相连。(如图)

下载 ALTERA 编程电缆(Byteblaster MV)的数据手册

下载 Xilinx编程电缆的电路原理图

下载 Lattice的电缆资料

它向系统板上的器件提供配置或编程数据,这就是所谓的在线可编程(ISP,如下图)。Byteblaster使用户能够独立地配置PLD器件,而不需要编程器或任何其它编程硬件。编程电缆可以向代理商购买,也可以根据厂家提供的编程电缆的原理图自己制作,成本仅需一,二十元。 早期的PLD是不支持ISP的,它们需要用编程器烧写。目前的PLD都可以用ISP在线编程,也可用编程器编程。这种PLD可以加密,并且很难解密,所以常常用于单板加密。

1.将PLD焊在PCB板上 2.接好编程电缆 3.现场烧写PLD芯片

2.对于基于查找表(LUT,Look-Up table)技术,SRAM工艺的FPGA(如Altera的所有FPGA,如ACEX,Cyclone,Stratix系列,Xilinx的所有FPGA,如Spartan,Virtex系列,Lattice的EC/ECP系列等),由于SRAM工艺的特点,掉电后数据会消失,因此调试期间可以用下载电缆配置PLD器件,调试完成后,需要将数据固化在一个专用的EEPROM中(用通用编程器烧写,也有一些可以用电缆直接改写),上电时,由这片配置EEPROM先对FPGA加载数据,十几个毫秒到几百个毫秒后,FPGA即可正常工作。(亦可由CPU配置FPGA)。但SRAM工艺的PLD一般不可以直接加密。

3.还有一种反熔丝(Anti-fuse)技术的FPGA,如Actel,Quicklogic的部分产品就采用这种工艺。但这种的PLD是不能重复擦写,需要使用专用编程器,所以开发过程比较麻烦,费用也比较昂高。但反熔丝技术也有许多优点:布线能力更强,系统速度更快,功耗更低,同时抗辐射能力强,耐高低温,可以加密,所以在一些有特殊要求的领域中运用较多,如军事及航空航天。 为了解决反熔丝FPGA不可重复擦写的问题,Actel等公司在90年代中后期开发了基于Flash技术的FPGA,如ProASIC系列,这种FPGA不需要配置,数据直接保存在FPGA芯片中,用户可以改写(但需要10几伏的高电压)。

随着技术的发展,在2004年以后,一些厂家推出了一些新的PLD和FPGA,这些产品模糊了PLD和FPGA的区别。例如Altera最新的MAXII系列PLD,这是一种基于FPGA(LUT)结构,集成配置芯片的PLD,在本质上它就是一种在内部集成了配置芯片的FPGA,但由于配置时间极短,上电就可以工作,所以对用户来说,感觉不到配置过程,可以传统的PLD一样使用,加上容量和传统PLD类似,所以altera把它归作PLD。 还有像Lattice的XP系列FPGA,也是使用了同样的原理,将外部配置芯片集成到内部,在使用方法上和PLD类似,但是因为容量大,性能和传统FPGA相同,也是LUT架构,所以Lattice仍把它归为FPGA。

怎么样?对PLD/FPGA的使用有了一定的了解了吧,如有疑问,可在本站论坛:新手入门栏目上提出上提出,大家一起讨论。

❻ 什么是PLDPLD是做什么用的还有好学么。请高手指教。。。

PLD(programmable logic device)--可编程逻辑器件:PLD是做为一种通用集成电路生产的,他的逻辑功能按照用户对器件编程来高定。一般的PLD的集成度很高,足以满足设计一般的数字系统的需要。这样就可以由设计人员自行编程而把一个数字系统“集成”在一片PLD上,而不必去请芯片制造厂商设计和制作专用的集成电路芯片了。PLA是生物降解塑料聚乳酸的英文简写,全写为:polylactice acid 聚乳酸也称为聚丙交酯(polylactide),属于聚酯家族。聚乳酸是以乳酸为主要原料聚合得到的聚合物,原料来源充分而且可以再生,主要以玉米、木薯等为原料。聚乳酸的生产过程无污染,而且产品可以生物降解,实现在自然界中的循环,因此是理想的绿色高分子材料。 聚乳酸的热稳定性好,加工温度170~230℃,有好的抗溶剂性,可用多种方式进行加工,如挤压、纺丝、双轴拉伸,注射吹塑。由聚乳酸制成的产品除能生物降解外,生物相容性、光泽度、透明性、手感和耐热性好,还具有一定的耐菌性、阻燃性和抗紫外性,因此用途十分广泛,可用作包装材料、纤维和非织造物等,目前主要用于服装(内衣、外衣)、产业(建筑、农业、林业、造纸)和医疗卫生等领域。 PLA最大的制造商是美国NatureWorks公司,其次是中国的海正生物,他们目前的产量分别是7万吨和5千吨。PLA有很多的应用,可以在挤出、注塑、拉膜、纺丝等多领域应用。GAL,通用阵列逻辑,英文全称:generic array logic。 GAL器件是从PAL发现过来的,其采用了EECMOS工艺使得该器件的编程非常方便,另外由于其输出采 用了逻辑宏 单元结构(OLMC—Output Logic Macro Cell),使得电路的逻辑设计更加灵活。 二、GAL的优点: 1.具有电可擦除的功能,克服了采用熔断丝技术只能一次编程的缺点,其可改写的次数超过100次; 2.由于采用了输出宏单元结构,用户可根据需要进行组态,一片GAL器件可以实现各种组态的PAL器件 输出结构的逻辑 功能,给电路设计带来极大的方便; 3.具有加密的功能,保护了知识产权; 4.在器件中开设了一个存储区域用来存放识别标志——即电子标签的功能。 三、GAL器件的基本结构: GAL有五个部分组成: 1.输入端:GAL16V8的2~9脚共8个输入端,每个输入端有一个缓冲器,并由缓冲器引出两个互补的输出到与阵列; 2.与阵列部分:它由8根输入及8根输出各引出两根互补的输出构成32列,即与项的变量个数为16;8根输出每个输出对应于一个8输入或门(相当于每个输出包含8个与项)构成64行,即GAL16V8的与阵列为一个32×64的阵列,共2048个可编程单元(或结点); 3.输出宏单元:GAL16V8共有8个输出宏单元,分别对应于12~19脚。每个宏单元的电路可以通过编程实现所有PAL输出结构实现的功能; 4.系统时钟:GAL16V8的1脚为系统时钟输入端,与每个输出宏单元中D触发器时钟输入端相连,可见GAL器件只能实现同步时序电路,而无法实现异步的时序电路; 5.输出三态控制端:GAL16V8的11脚为器件的三态控制公共端。FPGA是英文Field-Programmable Gate Array的缩写,即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。ASIC(Application Specific Integrated Circuit)是专用集成电路。 目前,在集成电路界ASIC被认为是一种为专门目的而设计的集成电路。是指应特定用户要求和特定电子系统的需要而设计、制造的集成电路。ASIC的特点是面向特定用户的需求,ASIC在批量生产时与通用集成电路相比具有体积更小、功耗更低、可靠性提高、性能提高、保密性增强、成本降低等优点。

如果你学过数字电路 ,还是很简单的

跟我学Cupl之三--如何使用WinCupl软件环境
差点忘了申明:本教程由吴健编写,未经许可,请不要转载。如果非要转载,请注明本文由吴健编写



WinCupl是ATMEL公司出品的Cupl语言的编译环境,用于PLD器件的编程,支持多种器件,包括GAL系列

和ATF系列。一般来说,ATF系列的同等级产品要必GAL的便宜,比如AFT16V8就兼容GAL16V8,可以擦写100

次,价格上也便宜1块~2块,性能都差不多。
在ATMEL公司的SPLD/CPLD栏目中免费下载WinCupl后,可以得到一个注册码,用这个码就可以激活

WinCupl了,这个码没有使用时间的限制。
WinCupl软件包实际包括两个部分,一个是WinCupl,PLD的编译环境,一个是WinSim,相当于MAX的波

形仿真部分。
接下来我们学习如何使用这个软件。

一、编译第一个源文件
第一次课我们举了一个例子,说明了Cupl语言的基本结构,下面我们做另一个例子,就是两输入端与

门。具体步骤是:
1、启动WinCupl。启动完进入主界面后,单击File菜单的New,从New中单击Projet,就是新建一个工

程文件(其实还是PLD文件),在弹出的对话框中,可以填您的源文件名字(Name),填MYGATE,其它的

东西怎么填请您复习第2课的PLD文件头部文件的说明部分。这里有个特殊的地方,就是器件(Device),

系统默认的是virtual,就是不针对任何具体的部件,这里我们改掉,改成g16v8a,这个关键字兼容

ATF16V8。
2、单击OK后,系统要你输入你要用的输入引脚数,因为我们只有两个输入端,因此填2,单击OK按钮


3、系统要你输入要用到的输出引脚数,填1,单击OK按钮。
4、系统要你输入要使用到的中间节点数,我们不需要,填0,单击OK按钮。这样系统就建立了一个

PLD文件,文件名就是MYGATE.PLD。系统将该文件显示出来了,就象下面这样:
Name MYGATE ;
PartNo 00 ;
Date 2006-8-9 ;
Revision 01 ;
Designer WUJIAN ;
Company TALE ;
Assembly None ;
Location ;
Device g16v8a ;

/* *************** INPUT PINS *********************/
PIN = ; /* */
PIN = ; /* */

/* *************** OUTPUT PINS *********************/
PIN = ; /* */

因此,这个文件是空的,我们填一下,将输入输出引脚都填好,把逻辑也写完,就象下面这样:
Name MYGATE ;
PartNo 00 ;
Date 2006-8-9 ;
Revision 01 ;
Designer WUJIAN ;
Company TALE ;
Assembly None ;
Location ;
Device g16v8a ;
/* *************** INPUT PINS *********************/
PIN 2 = a ; /* */
PIN 3 = b ; /* */

/* *************** OUTPUT PINS *********************/
PIN 12 = Y ; /* */

Y = a & b;
写好后,我们需要编译该文件。在Run菜单中,单击Device Dependent Compile,就是基于器件型号

的编译。如果没有出现什么键入错误,都能成功编译。编译完成后,我们来仿真一下看看波形。

二、仿真的基本方法
编译完成后。单击工具栏图标的从右侧数第2个,启动WinSim。启动完成后,单击WinSim菜单File中的

New。
1、在弹出的Design Properties对话框中,单击Design File按钮,选中MYGATE.PLD文件,按“确认

”按钮继续。在Design Properties对话框中,单击OK按钮确认。
2、接下来WinSim会提示是否创建MYGATE.SIM文件并编译它,单击“是”继续。
3、不管接下来的提示,在WinSim中Signal(信号)菜单中单击Add,在弹出的Add Signal对话框中不

断单击OK按钮将a、b、y三个信号加到波形图中。单击Done关闭该对话框。
4、在WinSim的File菜单中单击Save项保存该项目。
5、在黑色的网格的左上方有个Value,Value右边有个1,在1所在的灰色条上单击鼠标右键,在弹出

的菜单中的Add Vector上单击鼠标左键,在弹出的对话框中输入3,表示增加波形仿真的3段。
6、在a的右侧的波形上单击鼠标右键,依次选0,0,1,1,在b的波形上单击鼠标右键,依次选0,1

,0,1。
7、保存该工程。在Simulator菜单中选择Simulator开始仿真,就可以看到y的波形了。如下图所示。

本次课我们学习了如何利用WinCupl进行PLD逻辑设计和基本仿真方法

❼ 标题 讨论可编程逻辑器件的原理,搜集市场有哪些主要可编程逻辑器件生产商

可编程逻辑器件英文名字PLD(ProgrammableLogicDevices),可分为简单的PLD和复杂的PLD。简单的PLD分为:PROM、PLA、PAL、GAL;复杂的PLD分为:可编程逻辑器件CPLD和现场可编程逻辑门阵列FPGA。
根据逻辑实现和可编程方式的不同,FPGA分为两类:一,逻辑用查找表来实现,编程通过SRAM方式实现,叫SRAM查找表结构:二,逻辑通过多路开关实现,编程通过熔丝的通断实现,称为多路开关反熔丝结构,或者说是反熔丝的多路开关结构。采用SRAM查找表结构的FPGA厂商有Altera、Xilinx,LatTIce等;Actel和Quicklogic则是采用反熔丝多路开关结构的代表厂商。
当前,FPGA广泛应用于各种终端领域,如消费电子、通信、工业自动化、工业应用、计算机以及汽车电子等。
针对低功耗要求,FPGA采取措施降低动态功耗,提高性能。静态功耗漏泄电流主要因工艺产生,动态功耗P=CV2F,跟电压的平方成正比,跟频率成正比,跟分布电容C成正比,频率的增加会提高功耗。降低功耗的方法是简化系统的发热设计,并简化供电的系统设计。

❽ PLD在逆变器中起什么作用

PLD就是可编程逻辑器件,英文全称为:programmable logic device 即 PLD。

PLD是作为一种通用集成电路产生的,它的逻辑功能按照用户对器件编程来确定。一般的PLD的集成度很高,足以满足设计一般的数字系统的需要。

逆变器是把直流电能(电池、蓄电瓶)转变成交流电(一般为220v50HZ正弦或方波)。通俗的讲,逆变器是一种将直流电(DC)转化为交流电(AC)的装置。它由逆变桥、控制逻辑和滤波电路组成。

简单地说,逆变器就是一种将低压(12或24伏或48伏)直流电转变为220伏交流电的电子设备。因为通常是将220伏交流电整流变成直流电来使用,而逆变器的作用与此相反,因此而得名。处在一个“移动”的时代,移动办公,移动通讯,移动休闲和娱乐。

在移动的状态中,不但需要由电池或电瓶供给的低压直流电,同时更需要在日常环境中不可或缺的220伏交流电,逆变器就可以满足需求。

逆变器

阅读全文

与pld可编程原理相关的资料

热点内容
血恋图片 浏览:509
胖熊网站 浏览:4
电影《喜旺》完整版 浏览:364
linuxsource命令 浏览:744
基本的cmd命令 浏览:242
类似色戒一样的大尺度 浏览:981
主角名叫江枫的小说 浏览:467
蛋蛋长脖子上电影 浏览:513
基于单片机的电子琴的设计 浏览:544
博阳压缩机公司 浏览:63
程序员数正方体 浏览:955
男主角叫林枫的都市小说 浏览:599
哪家云服务器是bgp多线 浏览:376
长沙黑马程序员地址 浏览:432
云服务器有什么优点缺点 浏览:631
单片机51单片机 浏览:825
上海易通压缩机铸造 浏览:447
seo深度解析pdf 浏览:199
安卓手机怎么拍手写视频 浏览:464
电梯碰绿衣女变异的韩国电影 浏览:412