① 3d max怎樣用VR的材質
首先要把渲染器選擇為
你電腦裡面VR
之後
在材質編輯器裡面的
Standard!
中選擇
下方的
VRayMti!
之後進行材質命令就可以拉
② 艾泰路由器怎麼找回密碼
有一篇文章可以幫到你,但是我級別不夠貼不出網址,你自己搜一下把
網路搜「艾泰路由器密碼 原有配置輕松敲定」,文章寫的很詳細,有截圖。下邊是我復制的一部分內容:
(5)進入超級終端的主控頁面,回車。重新啟動設備,可以看到系統啟動畫面。
系統啟動進入 REOS 引導階段後,看到「Inflate begin..........................OK」信息後,立即連續輸入 3 個^C(Ctrl+c)字元後,系統會進入「急救模式」。
在「Login:」輸入系統管理員默認賬號「Default」,回車。在「Password:」直接回車。
看見「rescue#」提示符即證明登錄急救成功。
1、 如果是配置較少時可以輸入命令「sh nv」回車。把顯示出來的內容復制到你的文本文檔。
2、 如果你的配置命令較多,在超級終端里顯示不完全,則可以先點擊「傳送-捕獲文字」,然後瀏覽選擇你要保持配置文件的路徑,這里以保存到桌面為例。如下圖:
點擊啟動後,再在超級終端里輸入命令「sh nv」等全部配置都顯示完成後,再點擊「傳送-捕獲文字-停止」。最後你的全部配置都保存在你桌面的11.TXT文件裡面了。
通過以上兩種途徑中的任何一種獲取全部配置命令後。
1、在配置裡面找到兩處「set system admin/Default passwd CRYPT1_MTIz和set web user/Default passwd CRYPT1_MTIz」,把passwd後的字元串「CRYPT1_MTIz」都刪
2、把配置裡面的前三行(如下圖)刪除:
3、把最後一行的「rescue#」提示符刪除。
4、保存這個文本文檔。
最後輸入命令:nvramc這樣就恢復出廠設置了,同時也保存了你以前的配置,再按以下步驟操作:
打開WEB界面,用192.168.16.1登陸路由器,在系統管理-配置管理中把你剛才保存的文檔導入到路由器中,這樣就達到你的目:恢復出廠設置又保存你原來的配置。
說明:登陸設備的用戶名:Default 密碼:空
總結:在掌握了以上操作步驟方法後,相信網管人員再也不必擔心,由於各種原因登陸不了路由器,而又想保存原有配置來恢復出廠設置了。以上操作方法,希望能夠給廣大網管們帶來幫助。
③ 如何用命令行啟動modelsim,舉個簡單例子
1. 常用模擬命令
vlib work // 建立work模擬庫
vmap work wrok // 映射庫
vlog -cover bcest *.v // 加覆蓋率分析的編譯
vsim -coverage -voptargs="+acc" -t ns test // 模擬文件為test.v
add wave * // 將所有模塊waveform. mp出來
add wavesim:/test/t/M2/Reg_out // 將模塊Reg_out中的waveform. mp出來
delete wave /test/i
2. SVA 斷言模擬命令
vlog -sv a.v
vsim -assertdebug test
view assertions
vsim -assertdebug ScaleBlock_tf -L xilinxcorelib_ver -L unisims_ver // 載入xilinxlib庫
3. verror 3601 // 查錯
4. 給模擬工具載入xilinx 庫命令
(1)載入之前將modelsim.ini改為非「只讀」
(2)「運行」 cmd,到xilinx目錄下
(3) C:\Xilinx > compxlib -s mti_se -p c:\Modeltech_6.0\win32 -f all -l verilog -o C:\ Modeltech_6.0\Xilinx_lbis
或者 Xilinx目錄下.\bin\nt\下有compxlib.exe
④ Microsoft visual Foxpro怎麼輸入各級菜單及其相應的調用命令
Visual FoxPro 中的系統菜單名
在 Visual FoxPro 中,系統菜單欄的內部名稱是 _MSYSMENU。
系統菜單
菜單標題 內部名
文件 _MSM_FILE
編輯 _MSM_EDIT
查看 _MSM_VIEW
格式 _MSM_TEXT
工具 _MSM_TOOLS
程序 _MSM_PROG
窗口 _MSM_WINDO
幫助 _MSM_SYSTM
文件菜單
菜單和項 內部名
文件菜單 _MFILE
新建 _MFI_NEW
打開 _MFI_OPEN
關閉 _MFI_CLOSE
全部關閉 _MFI_CLALL
1st Separator _MFI_SP100
保存 _MFI_SAVE
另存為 _MFI_SAVAS
另存為 HTML _MFI_SAVASHTML
撤消 _MFI_REVRT
2nd Separator _MFI_SP200
導入 _MFI_IMPORT
導出 _MFI_EXPORT
3rd Separator _MFI_SP300
頁面設置 _MFI_PGSET
列印預覽 _MFI_PREVU
列印 _MFI_SYSPRINT
發送 _MFI_SEND
4th Separator _MFI_SP400
退出 _MFI_QUIT
編輯菜單 - Visual FoxPro
菜單和項 內部名
編輯菜單 _MEDIT
撤消 _MED_UNDO
重做 _MED_REDO
1st Separator _MED_SP100
剪切 _MED_CUT
復制 _MED_COPY
粘貼 _MED_PASTE
選擇性粘貼 _MED_PSTLK
清除 _MED_CLEAR
2nd Separator _MED_SP200
全部選定 _MED_SLCTA
3rd Separator _MED_SP300
查找 _MED_FIND
替換 _MED_REPL
轉到行 _MED_GOTO
4th Separator _MED_SP400
列表成員 _MED_LISTMEMBERS
快速信息 _MED_QUICKINFO
5th Separator _MED_SP500
書簽 _MED_BKMKS
6th Separator _MED_SP600
插入對象 _MED_INSOB
對象 _MED_OBJ
連接 _MED_LINK
7th Separator _MED_SP700
屬性 _MED_PREF
查看菜單
菜單和項 內部名
查看菜單 _MVIEW
工具欄 _MVI_TOOLB
工具菜單
菜單和項 內部名
工具菜單 _MTOOLS
向導 _MTL_WZRDS
1st Separator _MTL_SP100
宏 _MST_MACRO
類瀏覽器 _MTL_BROWSER
組件管理庫 _MTL_GALLERY
對象瀏覽器 _MTL_OBJECTBROWSER
智能感應管理器 _MTI_FOXCODE
2nd Separator _MTL_SP200
修飾 _MED_BEAUT
任務列表 _MTI_TASKLIST
文檔查看 _MTI_DOCVIEW
3rd Separator _MTL_SP300
代碼范圍復蓋器 _MTL_COVERAGE
斷點 _MTI_BREAKPOINT
調試器 _MTL_DEBUGGER
4th Separator _MTL_SP400
跟蹤 _MTI_TRACE
定位 _MTI_LOCALS
調用堆棧 _MTI_CALLSTACK
輸出 _MTI_DBGOUT
選項 _MTL_OPTNS
程序菜單
菜單和項 內部名
程序菜單 _MPROG
運行 _MPR_DO
取消 _MPR_CANCL
返回 _MPR_RESUM
掛起 _MPR_SUSPEND
1st Separator _MPR_SP100
編譯 _MPR_COMPL
窗口菜單
菜單和項 內部名
窗口菜單 _MWINDOW
層迭 _MWI_CASCADE
全部重排 _MWI_ARRAN
1st Separator _MWI_SP100
可停靠 _MWI_DOCKABLE
2nd Separator _MWI_SP200
隱藏 _MWI_HIDE
清除 _MWI_CLEAR
遍歷 _MWI_ROTAT
3rd Separator _MWI_SP300
「命令」窗口 _MWI_CMD
數據工作期 _MWI_VIEW
(保持與早期版本的 Visual FoxPro 的兼容)
屬性 _MWI_PROPERTIES
幫助菜單
菜單和項 內部名
幫助菜單 _MSYSTEM
Microsoft Visual FoxPro 8.0 幫助 _MST_HPSCH
MSDN 搜索 _MST_MSDNS
1st Separator _MST_SP100
技術支持 _MST_TECHS
網路上的 Visual FoxPro _MST_VFPWEB
2nd Separator _MST_SP200
關於 Microsoft Visual FoxPro 8.0 _MST_ABOUT
⑤ 魔獸爭霸如何快速發展
學習快捷鍵 要學會盲打鍵盤 眼睛盯著屏幕 看戰場上的情況 左手不要停 一直按按快捷鍵 慢慢熟悉了就不是菜鳥了 高手們的視頻你可以看看 http://v.youku.com/v_show/id_XMzMzOTkxMg==.html
http://v.youku.com/v_show/id_XMzkyNTY5MTI=.html
http://v.ku6.com/show/sZXzGNK2kH9hIdTm.html
不要求到這個程度但是也要盡可能的把手的速度提上去
操作時基礎 什麼戰術 大招 沒操作都不行
這些快捷鍵在網路上搜一下 很多資料 很容易找到
另外說說一些常用的快捷鍵吧
雙拳難敵四手,同樣,一手難敵兩手。玩魔獸,只用右手是沒有用,我們還要學會用左手------鍵盤。
鍵盤操作相對於滑鼠操作,更加快捷、方便、准確。我先從基礎操作講起,然後是進階操作,再是更精細的操作。其他的就沒有了,因為本人的水平只能到這里了,呵呵。
從基礎說起:
一:基礎操作:用鍵盤製造單位,釋放魔法,使用物品。
魔獸中的一切東西都可以用快捷鍵來完成,而滑鼠只是起到一個定位的作用。比如,暗夜做小精靈,你可以用滑鼠點擊基地里精靈的頭像,也可以直接按w;或者暗夜做月亮井,你可以選中小精靈,點建造,再點月亮井頭像,也可以直接按b,m。具體的快捷鍵,你可以把滑鼠移到具體單位的頭像上,滑鼠會彈出一些字幕,單位名稱後面括弧內的黃色字母,就是製造這個單位的快捷鍵。做到製作單位和建造建築用鍵盤來完成,是操作的基礎。
重要的一點,魔獸裡面,所有的魔法也同樣可以用快捷鍵來完成。這就意味著你可以用鍵盤迅速的完成英雄魔法的釋放。比如,人族的山嶽放錘子,你可以用滑鼠點擊錘子的圖標,再點擊對方的英雄,也可以鍵盤按t,同時滑鼠點中對方的英雄。魔法釋放的速度也許就相差半秒或者1秒鍾。但有時候,這半秒鍾或者1秒鍾就決定著比賽的勝負。
同樣,在商店購買物品,也可以點擊商店然後按下物品相應的快捷鍵。這樣可以讓你的英雄在靠近商店作戰的過程中,迅速的購買物品(回程,血瓶,無敵,群療或者飛艇),以很好的保護自己以及部隊。
同樣,英雄物品的使用也可以用鍵盤來完成。物品欄對應的是數字鍵盤上前兩豎排的按鍵。就是說,第一個物品可以按7來使用,第二個物品可以按8來使用,第三個物品按4來使用,以此類推。物品使用的快捷鍵也許有人覺得使用起來,還不如用滑鼠來的快。但用鍵盤使用物品有些好處:1,使用數量類物品(例如葯膏,颶風權仗),滑鼠的點擊顯得煩瑣,鍵盤使用更加快捷。2,在中後期混戰中,很可能出現的情況就是你的英雄身上明明有血瓶或者無敵,但是用滑鼠使用時出現點偏,誤點而導致英雄的陣亡,而用鍵盤使用失誤率更低。用鍵盤使用物品重要的一點在於,盡量把要使用的物品(例如血瓶,無敵,回程)放在靠物品欄左邊一側,畢竟按7,4,1比按8,5,2要好得多。
另外,永遠記住F1是你的首發英雄,F2是你的次發英雄,F3是你的三發英雄。這3個鍵可以讓你迅速的選中你想要選中的英雄,在混亂的戰斗中了解他們的位置。
一些你可能不知道的熱鍵:
1,「~」,按它可以選中正在休息的農民。
2,「backspace」,按它可以迅速的切回主基地,並以主基地為屏幕的中心。這個熱鍵在英雄回程救援的時候極為重要,你選中英雄,使用回程,再按backspace切回基地,選中自己回程的理想地點,回程(別忘了回程可以選擇位置,不一定要點到主基地上才能回來)。這樣總比在小地圖上點擊基地再選好位置要快一點。
3,insert和delete可以讓屏幕右旋或者左旋,這樣你可以點到一些正常視角點擊不到的單位,比如人族探路的小動物往往躲在高大建築的後面。
4,pageup和pagedown可以讓視角下移或者上移,滑鼠中鍵也可以做到。這樣你可以近距離欣賞到你想看到的東西。
5,O鍵可以給英雄迅速的學習技能,做法是選中英雄,按O再按相應技能的快捷鍵,相當於滑鼠點擊那個「+」號。例如你的死亡騎士在紅血的時候恰好升級,可以迅速的按下O、E學習死亡契約,再吃自己的單位,保證死亡騎士不死。
用鍵盤建造、放魔法、用物品,重要的一點在於擯棄以前用滑鼠點擊的壞習慣,強迫自己使用鍵盤。不斷的使用著鍵盤以後,你會發現鍵盤的使用,已經成為了你的習慣,而且終會嘗到使用鍵盤的甜頭。
PS:A鍵,H鍵,P鍵,tab鍵的運用。
1,A鍵,攻擊鍵。如果只用右鍵行軍,部隊只是移動到目的地,再進行攻擊。而使用A鍵然後點目的地行軍,部隊行進過程中如果發現敵軍,立刻能夠停下攻擊。另外如果你想殺掉自己或者隊友的單位,同樣是用A鍵攻擊。
2:H鍵,保持原位鍵。例如對手的基地裏海了箭塔,而你只能用攻城車攻擊他的基地,你的對手往往會用幾個單位出來誘引你的單位到他的箭塔群里去。這個時候你可以把你的非攻城單位用H鍵停留原位保護攻城車。
3:P鍵,巡邏鍵。例如你非要讓不死的陰影在對手的主基地與分基地之間轉來轉去,可以先讓陰影到主基地,按p再點分基地,你的陰影就會達到你的目的了。
4:TAB鍵,子組轉換鍵。例如把死亡騎士和巫妖編入一個組隊,而我想釋放巫妖的霜凍新星。可以按tab,就發現狀態欄里巫妖的頭像凸現了出來,就可以釋放霜凍新星了。
二:進階的操作:alt、shift、ctrl的使用。
可以說,不使用這3個鍵的魔獸玩家基本沒有入門,不掌握這3個鍵的魔獸玩家不能算是高手。個人認為,掌握這3個鍵的過程,是菜鳥成為高手的必由之路。下面介紹一下這3個鍵的作用。
一alt鍵:
①:按alt鍵的用途是觀察屏幕上所有單位的血量。按下alt之後你可以發現每一個單位頭頂上都出現血條,這使得你對敵我雙方,哪個單位瀕死一目瞭然。然後你應該做的是把自己瀕死的單位向後拖動,然後想辦法把對方瀕死的單位弄死。記住操作的最終目的,盡可能多的保護自己的每一個單位,盡可能多的殺死對方的每一個單位。據說某高手在戰斗的時候,是把一根牙簽插在alt鍵上的。雖然略覺誇張,但這事仍然說明alt鍵事關重大。
②:alt鍵可以和其他鍵組合產生作用,最著名的莫過於alt+ctrl+del了,其次是alt+F4。但這些組合在魔獸過程中是不能亂按的。在魔獸中常用的組合是:
1,alt+G 在2vs2的時候很重要,用於在地圖上發出一個警告。可以告訴你的盟友你在哪裡需要幫助。
2,alt+A 改變自己、隊友、敵人的顏色。盡量改變成模式3,即自己成為藍色,隊友成為綠色,敵人成為紅色。以在戰斗時能更加分清敵我,以免誤傷。
3,alt+F 開關隊型移動。在逃跑的時候,記住一定要把隊型移動關掉,否者那些遠程單位非要等到近戰單位逃到前面才肯移動。
二shift鍵:
①:shift鍵的一個作用其實很簡單,說白了就是讓一個單位連續的做幾件事。但這個作用一旦運用起來,就變得很有用處了。
1,讓一個農民做完建築之後自動回去采礦。做法是選中農民,下達建造命令以後按住shift,再用右鍵點擊木頭,或者金礦。
2,讓一個農民連續做幾樣建築。做法是選中農民,下達第一個建造命令,按住shift,下達第二個建造命令...類推。結合第1種方法,可以讓農民做完一大堆建築以後繼續回去采礦。如果連續建造的是相同的建築,比如人族的農場。你可以先把農民拖出,按住shift不放,按BF(農場快捷鍵),之後想做哪裡就做哪裡,往空地按就是,最後別忘了用shift把農民拉回來采礦。
3,連續向幾個地方探路。做法是選中探路的單位,先點擊第一個探路地點,按住shift不放,再依次點擊你想讓這個單位去的各個地方,放開shift。
4,讓你的單位集中火力,連續攻擊N個對方單位。這種做法的對象最好是遠程單位。做法是用右鍵點擊對方的一個單位,按shift連續點擊你依次想攻擊的單位。這樣可以集中火力,造成對某幾個單位的連續高傷害。但這種做法的弊端在於如果對方把正在被攻擊的單位調開,你的單位仍然會繼續追擊,這樣會受到更多對方其他單位的攻擊。所以最好只是連續的點射2-3個單位就可以了。因為如果你一下下達點射12個單位的指令,一旦對手把他的單位調開,你又要重新下達新的指令。汗,你累不累啊。
5,讓你的部隊繞過野生怪物。經常戰斗中出現的情況是你的單位集結在英雄身上,而在白天生產出來的單位往往要經過野生怪物的旁邊,造成單位的受傷或者死亡。避免這種情況的發生可以使用shift鍵。做法:點擊兵營,按住shift設置集結點,把集結點繞開野生怪物,最後點在英雄身上。之後,從兵營出來的單位會在N個集結點上先後走過,最後走到英雄身上。
6,騷擾之後全身而退。例如石像鬼騷擾,先點殺一個農民(或幾個),再shift點擊安全的地方。
7,其他用途。具體的很多想不起來。覺得最經典的就是暗夜的熊,先按f變回德魯伊,shift+e加血,再shift+f變回。這個操作用shift可以在一秒內完成,可是如果你等熊變回德魯伊,再按e加血,等加血後再按f變回,2-3秒的時間都過去了。
②:在一個隊伍里添加或者剔除單位。
1,添加。想要把一個單位編入你正在控制的這個隊伍,只要按shift在點擊(框選也可以)想添加的單位就可以了。這個做法在編入剛製造出來的單位時尤其重要。
2,剔除。想要把一個單位剔除你正在控制的這個隊伍,只要按shift在點擊(框選也可以)想剔除的單位就可以了。
具體實例,在losttemple上,你的4個獸兵有1個瀕死,可以先把這4個獸兵右鍵點擊生命之泉,再按shift點中瀕死獸兵(狀態欄的圖標也可以),把這個獸兵從組隊里剔除,再控制其他3個獸兵做其他的工作(mf,騷擾之類),等這個瀕死的獸兵滿血以後再把它添加到組隊里來。
三ctrl鍵:
①:ctrl鍵的第一個作用用於組隊。例如想把7個火槍手和2個男巫編成一隊,只要選中7個火槍手和2個男巫,按ctrl+1,就算是把火槍手和男巫編成一隊了。接下來,按一次1是選中1隊,按兩次1是選中1隊,並且以1隊做為屏幕中心。魔獸裡面最多可以有0、1-9十個編隊。把每一個單位編入組隊,是操作的基礎。另外,建築也是可以被編隊的。例如把兵營編為5隊,可以一邊在戰斗或者mf,一邊按5做步兵或者火槍。
②:選中屏幕內相同的單位。例如想選中同個屏幕中的8個食屍鬼,可以按住ctrl再點隨便一個食屍鬼,就可以全部選中8個了。但選中的單位,最多隻有12個,因為一個組隊只能容納12個單位嘛,呵呵。
③:ctrl鍵的另外一個作用是傳說中的「子組順序修改鍵」。進入游戲後,「選項」內的「游戲性」里,把「子組順序修改鍵」前面的方框點上勾,就可以用上這個功能了。這個功能的作用可以將同一個組隊的不同單位分開工作。舉個例子,不死前期使用骷髏權仗+食屍鬼mf,把食屍鬼和骷髏編在一個組隊,而mf的時候我想把骷髏頂在前面,就可以先按TAB(因為骷髏的優先度比食屍鬼低)選中骷髏,按住ctrl移動到前面。你會發現只有骷髏在移動而食屍鬼是不會動的。
三:更精細的操作:M鍵,S鍵的使用。
一M鍵:本意是move,但是我們可以把它引申為包圍。對,它就是傳說中的包圍鍵。要成為高手,就要把包圍練到神乎其技。各位,努力了。
戰場上的第一焦點永遠是英雄,所以我們當然先說的是包圍英雄。理論上,在沒有地形的影響下,4個單位就能夠把一個英雄圍住。但是這種情況很少出現,所以我們一般用5個或5個以上的單位來圍英雄。
圍英雄基本的操作步驟(用6食屍鬼為例):1,先用右鍵移動食屍鬼到對方英雄的另外一邊。2,當有2個食屍鬼超過了英雄之後,選中6食屍鬼,M到對方英雄身上。3,再按M,再點到對方英雄身上。4,再按M,再點到對方英雄身上。5,按A攻擊對方英雄。包圍時候最重要的一點,永遠不要認為一次M鍵就可以把對方圍住。[{當然,包圍以後要注意再用A建進行攻擊,HOHO,別忘記了哦。}]
然而如此明顯的包圍,對手很容易察覺,從而很輕松的跑掉。所以我們總是想盡辦法來相對的減緩對方英雄的速度,來實施更輕松的包圍。做法有:
1,固定對方英雄:山嶽的風暴錘,牛頭人酋長的戰爭踐踏,薩滿的凈化,狼騎的誘捕,巫醫的靜止陷阱,叢林守護者的樹根纏繞,猛禽德魯伊的颶風,地穴領主的穿刺,恐懼魔王的睡眠和終極技能,地精修理匠的飛彈。
2,緩慢對方英雄:血法的虛無,女巫的緩慢,影子獵手的巫術,飛龍的浸毒攻擊,守望者的暗影突襲(毒鏢),樹妖的毒素攻擊,巫妖的霜凍新星,不死的霜凍塔,骷髏法師的殘廢,冰龍的攻擊,哪伽的冰箭,熊貓的酒霧。當然,還有佩帶了閃電球、毒液球或者霜凍球的英雄。
3,加快自己部隊:獸族的加速卷軸,牛頭人酋長的耐久光環,死亡騎士的邪惡光環(包括擁有天災骨鍾的英雄)。
還有一種做法就是利用地形。論壇上的一張惡魔獵手被一個月亮井和3個小弓箭手包圍的圖文戰報相信大家都看過了,這是利用地形的一次經典包圍。同樣,我們也可以利用地圖上的拐角,樓梯用更少的兵包圍英雄。這就要讓對手的英雄盡量的走旁邊。舉個例子,我們可以把自己基地的建築只留最邊上一個口子,假如對方的英雄要來騷擾,一定只能從建築和樹林的縫隙中進來,而我們可以利用建築和樹林,實施包圍。
利用召喚單位包圍也是一種好方法,具體怎麼搞我還真不知道(眾人倒:「你個菜鳥,不知道你說什麼啊!」)。在戰斗的時候,用3個獸兵先包圍住你的一半,然後先知躲在一旁一放狼,英雄就正好被包圍了。另外死亡之書,一下是召喚出8個單位,圍一個英雄足夠。這兩種情況都是我在和別人對戰時候遇到過的尷尬情況。當然我還有在騷擾人族開分基地時候,被民兵+水元素包圍的情況,555~~~。
M鍵還可以用來反包圍。當你的對手用固定或者減緩你英雄的方法想對你英雄實施包圍,這個時候你可以把自己的單位包圍英雄,然後在英雄恢復之後逃離包圍。
包圍普通的單位和包圍英雄基本上是一樣的,只是有些單位的體積比英雄小一點,包圍的時候需要更多的單位。
一次成功的包圍往往可以左右一場戰斗的勝負。例如你的對手大軍浩浩盪盪的開到你家來,而你家裡只有一點點的兵力可守。如果包圍對手英雄成功,既可以解決你的燃眉之急,又浪費了對手的一張¥350的回程。
在越來越多的人懂得把瀕死的兵拖動以保護單位的現在,好好的練習包圍吧。
二S鍵:本意是stop,但是我們可以把它引申為阻擋。
阻擋一個單位的基本做法是:1,讓你的單位想辦法跑到它移動路線的前面。2,把你的單位斜插到它的移動路線上。3,一旦走到它要移動的路線上面,按S。
接下來你要做的就是不停的推測它的移動路線,不停的占據它的移動路線,一邊用滑鼠控制你的單位的移動路線,一邊狂按S。阻擋時候最重要的一點,永遠不要以為你的單位能夠恰好跑到它的移動路線上去阻擋它,要用S鍵控制你的單位在恰當的位置停下來,並用滑鼠右鍵讓你的單位繼續移動。
有時候我認為,阻擋幾乎和包圍相同的重要。你的對手在覺得打不贏之後,往往控制大量黃血或者紅血的單位逃跑。而你完全可以用一個或者幾個單位阻擋住對手一個或幾個單位,而等待自己的英雄過來釋放魔法或者等待自己的單位過來包圍。給我印象最深的兩個阻擋,一個是一個人族用農民阻擋一個紅血的5級先知,然後這個先知被大法師丟下4個火球而死,還有一個是xiaOt用2條3級隱形狼阻擋一個黃血的6級大法師,然後這個大法師愣是被2道閃電+2個震盪波打倒。
當然,S鍵的阻擋也可以用來掩護自己部隊的撤退。當自己撤退而對手窮追不捨得時候,我們可以讓一個速度快一點的單位(這樣在掩護這個單位可以迅速的逃走),例如英雄、女獵手、狼騎士之類,阻擋對手部隊,讓他停止對你的追擊,當然最重要的還是意識了。
至於戰術啥的 以後多看錄像 戰報 打多了就有經驗了
⑥ AT指令英文怎麼寫
AT 即Attention,AT指令集是從終端設備(Terminal Equipment,TE)或數據終端設備(Data Terminal Equipment,DTE)向終端適配器(Terminal Adapter, TA)或數據電路終端設備(Data Circuit Terminal Equipment,DCE)發送的。通過TA,TE發送AT指令來控制移動台(Mobile Station,MS)的功能,與GSM 網路業務進行交互。用戶可以通過AT指令進行呼叫、簡訊、電話本、數據業務、傳真等方面的控制。90年代初,AT指令僅被用於Modem操作。沒有控制移 動電話文本消息的先例,只開發了一種叫SMS BlockMode的協議,通過終端設備(TE)或電腦來完全控制
SMS。幾年後,主要的行動電話生產廠商諾基亞、愛立信、摩托羅拉和HP共同為GSM 研製了一整套AT指令,其中就包括對SMS的控制。AT指令在此基礎上演化並被加入GSM 07.05標准以及現在的GSM07.07標准,完全標准化和比較健全的標准。如:對SMS的控制共有3種實現途徑:最初的Block Mode;基於AT指令的Text Mode;基於AT指令的PDU Mode。到現在PDU Mode已經取代BlockMode,後者逐漸淡出。GSM 模塊與計算機之間的通信協議是一些AT指令
集,AT指令是以AT作首, 字元結束的字元串,AT指令的響應數據包在 中。每個指令執行成功與否都有相應的返回。其他的一些非預期的信息(如有人撥號進來、線路無信號等),模塊將有對應的一些信息提示,接收端可做相應的處理。
示例:CDMA modem DTE
AT< CR>
< LF> OK < LF>
ATTEST< CR>
< CR> ERROR < LF>
如果AT指令執行成功,「OK」字元串返回;
如果AT 指令語法錯誤或AT 指令執行失敗,
「ERROR」字元串返回。
1.相關的GSM AT指令
與SMS有關的GSM AT指令(from GSM07.05)如表1所示:
表1 相關的GSM AT指令
AT 指令
功 能
AT+CMGC
Send an SMS command(發出一條短消息命令)
AT+CMGD
Delete SMS message(刪除SIM卡內存的短消息)
AT+CMGF
Select SMS message formate(選擇短消息信息格式:0-PDU;1-文本)
AT+CMGL
List SMS message from preferred store(列出SIM卡中的短消息PDU/text: 0/「REC UNREAD」-未讀,1/「REC READ」-已讀,2/「STO UNSENT」-待發,3/「STO SENT」-已發,4/「ALL」-全部的)
AT+CMGR
Read SMS message(讀短消息)
AT+CMGS
Send SMS message(發送短消息)
AT+CMGW
Write SMS message to memory(向SIM內存中寫入待發的短消息)
AT+CMSS
Send SMS message from storage(從SIN|M內存中發送短消息)
AT+CNMI
New SMS message indications(顯示新收到的短消息)
AT+CPMS
Preferred SMS message storage(選擇短消息內存)
AT+CSCA
SMS service center address(短消息中心地址)
AT+CSCB
Select cell broadcast messages(選擇蜂窩廣播消息)
AT+CSMP
Set SMS text mode parameters(設置短消息文本模式參數)
AT+CSMS
Select Message Service(選擇短消息服務)
對短消息的控制共有三種模式:
Block Mode
基於AT命令的PDU Mode
基於AT命令的Text Mode
使用Block模式需要手機生產廠家提供驅動支持,目前,PDU Mode 已取代 Block Mode, Text Mode比較簡單,本文重點介紹模式PDU Mode,以西門子公司的產品TC35T為例。
2.計算機與TC35T的通信
(1)RS232串口連接
由於TC35T自帶RS232串口線,故只需將其連接到計算機串口即可。打開超級終端,選擇相應的串口,將埠參數設置為:速率—4800、奇偶校驗位—無、數據位—8、停止位—1、流量控制—硬體。
(2)連接測試
輸入「AT」然後回車,屏幕上返回「OK」表明計算機與TC35T已連接成功,TC35T能夠正常工作。這時就可以測試各類AT命令。
當測試命令「AT+CMGS=?」時,如果返回「OK」標明TC35T支持該指令。該指令的完整語法如下:
如果此時TC35T處於PDU Mode(即「AT+CMGF?」返回「0」)
AT+CMGS=PDU is given<^Z/ESC>
如果短消息發送成功,則返回「OK」,並顯示信息號:
+CMGS: [,]
如果短消息發送失敗,則返回如下信息號:
+CMS ERROR:
如果此時TC35T處於Text Mode(即「AT+CMGF?」返回「1」)
AT+CMGS=[,toda]text is entered<^Z/ESC>
如果短消息發送成功,則返回「OK」,並顯示信息號:
+CMGS: [,]
如果短消息發送失敗,則返回如下信息號:
+CMS ERROR:
另外,由於使用的是TC35T,當有新的短消息到來時,需要TC35T產生提示,使用指令「AT+CNMI」。該指令的完整語法如下:
AT+CNMI=[][,][,][,][,]
如果有新的短消息來到,則TC35T將自動返回下列提示:
+CMTI: 「SM」,
此時讀出,然後用「AT+CMGR」指令即可讀出短消息內容。
3.PDU數據格式分析:
例如,我們要將字元「Hi」字元發送到目的地「13677328099」
PDU字元串為:
08 91 683108701305F0 11 00 0D 91 3176378290F9 00 00 00 02 C834
⑴08—簡訊息中心地址長度。指(91)+(683108701305F0)的長度。
⑵91—簡訊息中心號碼類型。91是TON/NPI遵守International/E.164標准,指在號碼前需加『+』號;此外還有其它數值,但91最常用。
91—10010001
BIT No.
7
6
5
4
3
2
1
0
Name
1
數值類型
號碼鑒別
數值類型(Type of Number):000—未知,001—國際,010—國內,111—留作擴展;
號碼鑒別(Numbering plan identification):0000—未知,0001—ISDN/電話號碼(E.164/E.163),1111—留作擴展;
⑶683108701305F0—簡訊息中心號碼。由於位置上略有處理,實際號碼應為:8613800731500(字母F是指長度減1)。這需要根據不同的地域作相應的修改。
⑴、⑵、⑶通稱短消息中心地址(Address of the SMSC)。
⑷11—文件頭位元組。
11&h=00010001&b
BIT No.
7
6
5
4
3
2
1
0
Name
TP-RP
TP-UDHI
TP-SPR
TP-VFP
TP-RD
TP-MTI
value
0
0
0
1
0
0
0
1
應答路徑—TP-RP(TP-Reply-Path):0—不設置; 1—設置
用戶數據頭標識—TP-UDHL(TP-User-Data-Header-Indicator):0—不含任何頭信息; 1—含頭信息
狀態報告要求—TP-SPR(TP-Status-Report-Request):0—需要報告; 1—不需要報告
有效期格式—TP-VPF(TP-Validity-Period-Format):00—不提供(Not present); 10—整型(標准);01—預留; 11—提供8位位元組的一半(Semi-Octet Represented)
拒絕復制—TP-RD(TP-Reject-Duplicates):0—接受復制; 1—拒絕復制
信息類型提示—TP-MTI(TP-Message-Type-Indicator):00—讀出(Deliver); 01—提交(Submit)
⑸00—信息類型(TP-Message-Reference)
⑹0B—被叫號碼長度。
⑺91—被叫號碼類型(同⑵)。
⑻3176378290F9—被叫號碼,經過了位移處理,實際號碼為「13677328099」。
⑹、⑺、⑻通稱目的地址(TP-Destination-Address)。
⑼00—協議標識TP-PID(TP-Protocol-Identifier)
BIT No.
7
6
5
4
3
2
1
0
Bit No.7與Bit No.6: 00—如下面定義的分配Bit No.0—Bit No.5;01—參見GSM03.40協議標識完全定義;10—預留;11—為服務中心(SC)特殊用途分配Bit No.0—Bit No.5。
一般將這兩位置為00。
Bit No.5:0—不使用遠程網路,只是短消息設備之間的協議;1—使用遠程網路。
Bit No.0—Bits No.4:00000—隱含;00001—電傳;00010—group 3 telefax;00100—語音;00101—歐洲無線信息系統(ERMES);00110—國內系統;10001—任何基於X.400的公用信息處理 系統;10010—Email。
⑽00—數據編碼方案TP-DCS(TP-Data-Coding-Scheme)
BIT No.
7
6
5
4
3
2
1
0
Bit No.7與Bit No.6 :一般設置為00;Bit No.5:0—文本未壓縮,1—文本用GSM標准壓縮演算法壓縮;Bit No.4:0—表示Bit No.1、Bit No.0為保留位,不含信息類型信息,1—表示Bit No.1、Bit No.0含有信息類型信息;Bit No.3與Bit No.2:00—默認的字母表,01—8bit,10—USC2(16bit),11—預留;Bit No.1與Bit No.0:00—Class 0,01—Class 1,10—Class 2(SIM卡特定信息),11—Class 3。
⑾00—有效期TP-VP(TP-Valid-Period)
VP value(&h)
相應的有效期
00 to 8F
(VP+1)*5 分鍾
90 to A7
12小時+(VP-143)*30分鍾
A8 to C4
(VP-166)*1天
C5 to FF
(VP-192)*1 周
⑿02—用戶數據長度TP-UDL(TP-User-Data-Length)
⒀C834—用戶數據TP-UD(TP-User-Data)「Hi」
4.短消息編碼
設需要發送的短消息內容為「Hi」,使用的GSM字元集為7位編碼。首先將字元轉換為7位的二進制,然後,將後面字元的位調用到前面,補齊前面的 差別。例如:H翻譯成1001000,i翻譯成1101001,顯然H的二進制編碼不足八位,那麼就將i的最後一位補足到H的前面。那麼就成了 11001000(C8),i剩下六位110100,前面再補兩個0,變成00110100(34),於是「Hi」就變成了兩個八進制數 C8 34。
5.短消息的發送與接收案例
鑒於TC35(T)支持TEXT格式,我們在試驗中主要測試該格式。
(1)設置短消息中心
AT+CSCA="+8613800731500"(短消息中心);
(2)設置短消息發送格式
AT+CMGF=1 (1-TEXT; 0-PDU);
(3)發送短消息(短消息內容為「test」)
AT+CMGS="13508485560"(目的地址)
> test ^z ;
(4)設置短消息到達自動提示: 設置短消息到達提示當短消息被接收,將獲取指令: +CMTI:"SM",INDEX(信息存儲位置)
AT+CNMI=1,1,0,0,1();
(5)獲取短消息內容(Once more),假設INDEX=8。
AT+CMGR=8
返回信息如下:
+CMGR: "REC UNREAD","+8613508485560",,"01/07/16,15:37:28+32",Once more
6.注意事項
(1)短消息中心一般不會改動,如果短消息中心號碼改動,在使用「AT+CSCA」語句時,記住TC35要重新啟動,否則TC35不能正常工作(TC35T不存在此問題)。
(2)某些SIM卡帶有密碼,啟動時需要輸入密碼。
這里以字元串123456為例子,它的md5密文值為:
這里以1.txt為需要被加密的文件。
一、 用oppnssl md5 加密字元串和文件的方法。
1. oppnssl md5 加密字元串的方法
a.手動輸入命令及過程如下:
#openssl //在終端中輸入openssl後回車。
OpenSSL> md5 //輸入md5後回車
123456 //接著輸入123456,不要輸入回車。然後按3次ctrl+d。
123456 //123456後面的就是密文了
解釋:為何在輸入123456後不回車呢?
是因為openssl默認會把回車符當做要加密的字元串中的一個字元,所以得到的結果不同。如果你輸入123456後回車,在按2次ctrl+d。得到的結果是:
OpenSSL> md5
123456
//因為openssl不忽略回車符導致的
b.或者直接用管道命令
# echo -n 123456 | openssl md5 //必須要有-n參數,否則就不是這個結果了。
解釋:為何要加-n這個參數?
-n就表示不輸入回車符,這樣才能得到正確的結果。如果你不加-n,那麼結果和前面說的一樣為:
//因為openssl不忽略回車符導致的
2.用openssl加密文件。
#openssl md 5 -in 1.txt
##################################################3
Openssl其他相關加密的命令參數:引自:實用命令:利用openssl進行BASE64編碼解碼、md5/sha1摘要、AES/DES3加密解密 收藏
一. 利用openssl命令進行BASE64編碼解碼(base64 encode/decode)
1. BASE64編碼命令
對字元串『abc』進行base64編碼:
# echo abc | openssl base64
YWJjCg== (編碼結果)
如果對一個文件進行base64編碼(文件名t.txt):
# openssl base64 -in t.txt
2. BASE64解碼命令
求base64後的字元串『YWJjCg==』的原文:
# echo YWJjCg== | openssl base64 -d
abc (解碼結果)
如果對一個文件進行base64解碼(文件名t.base64):
# openssl base64 -d -in t.base64
二. 利用openssl命令進行md5/sha1摘要(digest)
1. 對字元串『abc』進行md5摘要計算:echo abc | openssl md5
若對某文件進行md5摘要計算:openssl md5 -in t.txt
2. 對字元串『abc』進行sha1摘要計算:echo abc | openssl sha1
若對某文件進行sha1摘要計算:openssl sha1 -in t.txt
三. 利用openssl命令進行AES/DES3加密解密(AES/DES3 encrypt/decrypt)
對字元串『abc』進行aes加密,使用密鑰123,輸出結果以base64編碼格式給出:
# echo abc | openssl aes-128-cbc -k 123 -base64
U2FsdGVkX18ynIbzARm15nG/JA2dhN4mtiotwD7jt4g= (結果)
對以上結果進行解密處理:
# echo U2FsdGVkX18ynIbzARm15nG/JA2dhN4mtiotwD7jt4g= | openssl aes-128-cbc -d -k 123 -base64
abc (結果)
若要從文件里取原文(密文)進行加密(解密),只要指定 -in 參數指向文件名就可以了。
進行des3加解密,只要把命令中的aes-128-cbc換成des3就可以了。
註:只要利用openssl help就可以看到更多的安全演算法了。
###############################################
二、 利用php的md5函數加密字元串
#touch a.php //創建a.php文件
#vi a.php //用vi 編輯a.php文件
將<?php echo md5(123456); ?>輸入進去後保存
#php a.php //運行a.php文件
顯示:
三、 利用md5sum命令
A.在linux或Unix上,md5sum是用來計算和校驗文件報文摘要的工具程序。一般來說,安裝了Linux後,就會有md5sum這個工具,直接在命令行終端直接運行。可以用下面的命令來獲取md5sum命令幫助 man md5sum
#md5sum –help
有個提示:「With no FILE, or when FILE is -, read standard input.」翻譯過來就是「如果沒有輸入文件選項或者文件選項為 - ,則從標磚讀取輸入內容」,即可以直接從鍵盤讀取字元串來加密。
利用md5sum加密字元串的方法
# md5sum //然後回車
123456 //輸入123456.然後按兩次ctrl+d.
顯示:
123456 紅色代表加密後的值
還可以用管道命令:
#echo -n '123123' | md5sum
或者寫成md5加密腳本,名字叫md5.sh,
將以下內容復制進腳本里:
#!/bin/bash
echo -n $1 | md5sum | awk '{print $1}'
保存後,給腳本執行許可權。
#sh md5.sh 123456
顯示:
B.其實也可以將文本放入文本文件,然後用md5sum 加密改文本,也可以得到字元串加密的值。過程如下:
#touch a.txt
#echo -n 123456 > a.txt //將123456寫進文本文件,不能丟了 –n參數,避免回車符干擾
#md5sum a.txt
顯示: a.txt
ctrl+d有兩個含義:
一是向程序發送文件輸入結束符EOF。
二是向程序發送exit退出指令。程序收到信號後具體動作是結束輸入、然後等待,還是直接退出,那就要看該程序捕獲信號後是如何操作的了。
md5sum屬於第一個含義。兩次strl+d了,第一次讀取EOF指令,再次捕獲就會當成exit指令。而shell一類的程序,會直接把ctrl+d解析為退出指令。
⑧ Xilinx ip核生成後,怎樣在ModelSim中模擬
1,ModelSim可以直接編譯和添加Xilinx的庫,目前的ise中(在開始菜單xilinx工具下找吧)直接有使用ModelSim編譯庫的工具。完成庫的編譯之後,就是添加庫到ModelSim的模擬環境中,修改modelsim安裝目錄下的modelsim.ini,這樣就完成了庫的添加,在模擬時,僅需要填加生成ip的.v文件。
2,tb自己編寫是最好,xilinx 有些ip是有部分的tb的,但是這些tb僅僅是幫助你了解ip的使用,不具有實用價值,比如mac ddr等
這里附上我N年前編譯模擬庫的筆記,那個時候ModelSim和ise的版本都是很早的版本,不過原理還是一樣的
先得把modelsim.ini改為可寫
在命令行模式下運行:
"compxlib -s mti_se -l all -f all -p D:\Modeltech_6.1c\win32"
編譯好的庫放在:
D:\Xilinx\10.1\ISE\vhdl\mti_se
D:\Xilinx\10.1\ISE\verilog\mti_se
編譯好之後,modelsim.ini 增加下面內容
UNISIMS_VER =d:\Xilinx\10.1\ISE\verilog\mti_se\unisims_ver
UNIMACRO_VER = d:\Xilinx\10.1\ISE\verilog\mti_se\unimacro_ver
UNI9000_VER =d:\Xilinx\10.1\ISE\verilog\mti_se\uni9000_ver
SIMPRIMS_VER =d:\Xilinx\10.1\ISE\verilog\mti_se\simprims_ver
XILINXCORELIB_VER =d:\Xilinx\10.1\ISE\verilog\mti_se\XilinxCoreLib_ver
AIM_VER = d:\Xilinx\10.1\ISE\verilog\mti_se\abel_ver\aim_ver
CPLD_VER =d:\Xilinx\10.1\ISE\verilog\mti_se\cpld_ver
SECUREIP =d:\Xilinx\10.1\ISE\verilog\mti_se\secureip
UNISIM = d:\Xilinx\10.1\ISE\vhdl\mti_se\unisim
UNIMACRO =d:\Xilinx\10.1\ISE\vhdl\mti_se\unimacro
SIMPRIM = d:\Xilinx\10.1\ISE\vhdl\mti_se\simprim
XILINXCORELIB =d:\Xilinx\10.1\ISE\vhdl\mti_se\XilinxCoreLib
AIM = d:\Xilinx\10.1\ISE\vhdl\mti_se\abel\aim
PLS = d:\Xilinx\10.1\ISE\vhdl\mti_se\abel\pls
CPLD = d:\Xilinx\10.1\ISE\vhdl\mti_se\cpld
⑨ 怎樣處理gpt分區格式導致無法重裝系統的問題
gpt分區無法安裝win10的根本原因
gpt分區需要UEFI啟動引導,最根本的原因要麼你BIOS未設置UEFI引導,要麼是你電腦沒有UEFI引導項。
解決方法有兩種:進入BIOS設置UEFI啟動;將gpt分區改為MBR分區
一、如果你的電腦是新購買的,建議還是進入BIOS設置UEFI啟動,畢竟UEFI是一種更快捷快速的電腦啟動配置
1、重啟電腦,然後不停的點擊BIOS啟動熱鍵,不同的電腦品牌啟動熱鍵不一,大部分按F2,ESC,del鍵,一般在開機界面品牌logo的界面下也可以看到。如圖,進入BIOS。選擇boot選項
⑩ AT指令的用法
AT指令是以AT作首, 字元結束的字元串,AT指令的響應數據包在 中。每個指令執行成功與否都有相應的返回。其他的一些非預期的信息(如有人撥號進來、線路無信號等),模塊將有對應的一些信息提示,接收端可做相應的處理。
示例:CDMA modem DTE
AT< CR>
< LF> OK < LF>
ATTEST< CR>
< CR> ERROR < LF>
如果AT指令執行成功,「OK」字元串返回;
如果AT 指令語法錯誤或AT 指令執行失敗,
「ERROR」字元串返回。 與SMS有關的GSM AT指令(from GSM07.05)如表1所示:
表1 相關的GSM AT指令
AT 指令
功 能
AT+CMGC
Send an SMS command(發出一條短消息命令)
AT+CMGD
Delete SMS message(刪除SIM卡內存的短消息)
AT+CMGF
Select SMS message formate(選擇短消息信息格式:0-PDU;1-文本)
AT+CMGL
List SMS message from preferred store(列出SIM卡中的短消息PDU/text: 0/「REC UNREAD」-未讀,1/「REC READ」-已讀,2/「STO UNSENT」-待發,3/「STO SENT」-已發,4/「ALL」-全部的)
AT+CMGR
Read SMS message(讀短消息)
AT+CMGS
Send SMS message(發送短消息)
AT+CMGW
Write SMS message to memory(向SIM內存中寫入待發的短消息)
AT+CMSS
Send SMS message from storage(從SIN|M內存中發送短消息)
AT+CNMI
New SMS message indications(顯示新收到的短消息)
AT+CPMS
Preferred SMS message storage(選擇短消息內存)
AT+CSCA
SMS service center address(短消息中心地址)
AT+CSCB
Select cell broadcast messages(選擇蜂窩廣播消息)
AT+CSMP
Set SMS text mode parameters(設置短消息文本模式參數)
AT+CSMS
Select Message Service(選擇短消息服務)
對短消息的控制共有三種模式:
Block Mode
基於AT命令的PDU Mode
基於AT命令的Text Mode
使用Block模式需要手機生產廠家提供驅動支持,目前,PDU Mode 已取代 Block Mode, Text Mode比較簡單,本文重點介紹模式PDU Mode,以西門子公司的產品TC35T為例。 (1)RS232串口連接
由於TC35T自帶RS232串口線,故只需將其連接到計算機串口即可。打開超級終端,選擇相應的串口,將埠參數設置為:速率—4800、奇偶校驗位—無、數據位—8、停止位—1、流量控制—硬體。
(2)連接測試
輸入「AT」然後回車,屏幕上返回「OK」表明計算機與TC35T已連接成功,TC35T能夠正常工作。這時就可以測試各類AT命令。
當測試命令「AT+CMGS=?」時,如果返回「OK」標明TC35T支持該指令。該指令的完整語法如下:
如果此時TC35T處於PDU Mode(即「AT+CMGF?」返回「0」)
AT+CMGS=PDU is given<^Z/ESC>
如果短消息發送成功,則返回「OK」,並顯示信息號:
+CMGS: [,]
如果短消息發送失敗,則返回如下信息號:
+CMS ERROR:
如果此時TC35T處於Text Mode(即「AT+CMGF?」返回「1」)
AT+CMGS=[,toda]text is entered<^Z/ESC>
如果短消息發送成功,則返回「OK」,並顯示信息號:
+CMGS: [,]
如果短消息發送失敗,則返回如下信息號:
+CMS ERROR:
另外,由於使用的是TC35T,當有新的短消息到來時,需要TC35T產生提示,使用指令「AT+CNMI」。該指令的完整語法如下:
AT+CNMI=[][,][,][,][,]
如果有新的短消息來到,則TC35T將自動返回下列提示:
+CMTI: 「SM」,
此時讀出,然後用「AT+CMGR」指令即可讀出短消息內容。 例如,我們要將字元「Hi」字元發送到目的地「13678099」
PDU字元串為:
⑵91—簡訊息中心號碼類型。91是TON/NPI遵守International/E.164標准,指在號碼前需加『+』號;此外還有其它數值,但91最常用。
91—10010001
BIT No.
7
6
5
4
3
2
1
0
Name
1
數值類型
號碼鑒別
數值類型(Type of Number):000—未知,001—國際,010—國內,111—留作擴展;
號碼鑒別(Numbering plan identification):0000—未知,0001—ISDN/電話號碼(E.164/E.163),1111—留作擴展;
⑶683108701305F0—簡訊息中心號碼。由於位置上略有處理,實際號碼應為:字母F是指長度減1)。這需要根據不同的地域作相應的修改。
⑴、⑵、⑶通稱短消息中心地址(Address of the SMSC)。
⑷11—文件頭位元組。
11&h=00010001&b
BIT No.
7
6
5
4
3
2
1
0
Name
TP-RP
TP-UDHI
TP-SPR
TP-VFP
TP-RD
TP-MTI
value
0
0
0
1
0
0
0
1
應答路徑—TP-RP(TP-Reply-Path):0—不設置; 1—設置
用戶數據頭標識—TP-UDHL(TP-User-Data-Header-Indicator):0—不含任何頭信息; 1—含頭信息
狀態報告要求—TP-SPR(TP-Status-Report-Request):0—需要報告; 1—不需要報告
有效期格式—TP-VPF(TP-Validity-Period-Format):00—不提供(Not present); 10—整型(標准);01—預留; 11—提供8位位元組的一半(Semi-Octet Represented)
拒絕復制—TP-RD(TP-Reject-Duplicates):0—接受復制; 1—拒絕復制
信息類型提示—TP-MTI(TP-Message-Type-Indicator):00—讀出(Deliver); 01—提交(Submit)
⑸00—信息類型(TP-Message-Reference)
⑹0B—被叫號碼長度。
⑺91—被叫號碼類型(同⑵)。
⑻3176378290F9—被叫號碼,經過了位移處理,實際號碼為「1368099」。
⑹、⑺、⑻通稱目的地址(TP-Destination-Address)。
⑼00—協議標識TP-PID(TP-Protocol-Identifier)
BIT No.
7
6
5
4
3
2
1
0
Bit No.7與Bit No.6: 00—如下面定義的分配Bit No.0—Bit No.5;01—參見GSM03.40協議標識完全定義;10—預留;11—為服務中心(SC)特殊用途分配Bit No.0—Bit No.5。
一般將這兩位置為00。
Bit No.5:0—不使用遠程網路,只是短消息設備之間的協議;1—使用遠程網路。
Bit No.0—Bits No.4:00000—隱含;00001—電傳;00010—group 3 telefax;00100—語音;00101—歐洲無線信息系統(ERMES);00110—國內系統;10001—任何基於X.400的公用信息處理 系統;10010—Email。
⑽00—數據編碼方案TP-DCS(TP-Data-Coding-Scheme)
BIT No.
7
6
5
4
3
2
1
0
Bit No.7與Bit No.6 :一般設置為00;Bit No.5:0—文本未壓縮,1—文本用GSM標准壓縮演算法壓縮;Bit No.4:0—表示Bit No.1、Bit No.0為保留位,不含信息類型信息,1—表示Bit No.1、Bit No.0含有信息類型信息;Bit No.3與Bit No.2:00—默認的字母表,01—8bit,10—USC2(16bit),11—預留;Bit No.1與Bit No.0:00—Class 0,01—Class 1,10—Class 2(SIM卡特定信息),11—Class 3。
⑾00—有效期TP-VP(TP-Valid-Period)
VP value(&h)
相應的有效期
00 to 8F
(VP+1)*5 分鍾
90 to A7
12小時+(VP-143)*30分鍾
A8 to C4
(VP-166)*1天
C5 to FF
(VP-192)*1 周
⑿02—用戶數據長度TP-UDL(TP-User-Data-Length)
⒀C834—用戶數據TP-UD(TP-User-Data)「Hi」 鑒於TC35(T)支持TEXT格式,我們在試驗中主要測試該格式。
(1)設置短消息中心
(2)設置短消息發送格式
AT+CMGF=1 (1-TEXT; 0-PDU);
(3)發送短消息(短消息內容為「test」)
> test ^z ;
(4)設置短消息到達自動提示: 設置短消息到達提示當短消息被接收,將獲取指令: +CMTI:SM,INDEX(信息存儲位置)
AT+CNMI=1,1,0,0,1();
(5)獲取短消息內容(Once more),假設INDEX=8。
AT+CMGR=8
返回信息如下:
+CMGR: REC UNREAD,+86135085560,,01/07/16,15:37:28+32,Once more (1)短消息中心一般不會改動,如果短消息中心號碼改動,在使用「AT+CSCA」語句時,記住TC35要重新啟動,否則TC35不能正常工作(TC35T不存在此問題)。
(2)某些SIM卡帶有密碼,啟動時需要輸入密碼。