導航:首頁 > 操作系統 > 基於單片機的直流電機調速系統

基於單片機的直流電機調速系統

發布時間:2023-02-24 01:40:03

① 怎樣用單片機控制直流電動機

1,簡單的開關控制,用單片機引腳輸出高低電平,控制MOS管驅動電路。
2,正反轉控制,需要兩個單片機引腳,一個控制正反轉,一個控制啟動與否。
3,需要控制速度,(1)電壓控制,(2)PWM(脈寬)控制。
4,需要控制轉角,首先能夠控制速度,然後增加一個編碼器,單片機中加入PID控制,用以精確控制。
以上大概就是直流電機能夠控制的東西。

② 如何用單片機控制直流電機

通過與單片機相連的按鍵控制直流電機停啟的電路如下圖所示,通過P3.6口按鍵觸發啟動直流電機,P3.7口的按鍵觸發停止直流電機的運行。由圖可知,當P1.0輸出高電平「1」時,NPN型三極體導通,直流電機得電轉動;當P1.0輸出低電平「0」時,NPN型三極體截止,直流電機停止轉動。

(2)基於單片機的直流電機調速系統擴展閱讀:

通過單片機產生PWM波控制直流電機程序

#include"reg52.h"


#defineucharunsignedchar


#defineuintunsignedint


ucharcodetable[10]={0x3f,0x06,0x5b,


0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x6f};//共陰數碼管顯示碼(0-9)


sbitxiaoshudian=P0^7;


sbitwei1=P2^4;//數碼管位選定義


sbitwei2=P2^5;


sbitwei3=P2^6;


sbitwei4=P2^7;


sbitbeep=P2^3;//蜂鳴器控制端


sbitmotor=P1^0;//電機控制


sbits1_jiasu=P1^4;//加速按鍵


sbits2_jiansu=P1^5;//減速按鍵


sbits3_jiting=P1^6;//停止/開始按鍵


uintpulse_count;//INT0接收到的脈沖數


uintnum=0;//num相當於占空比調節的精度


ucharspeed[3];//四位速度值存儲


floatbianhuasu;//當前速度(理論計算值)


floatreallyspeed;//實際測得的速度


floatvv_min=0.0;vv_max=250.0;


floatvi_Ref=60.0;//給定值


floatvi_PreError,vi_PreDerror;


uintpwm=100;//相當於占空比標志變數


intsample_time=0;//采樣標志


floatv_kp=1.2,v_ki=0.6,v_kd=0.2;//比例,積分,微分常數


voiddelay(uintz)


{


uintx,y;


for(x=z;x>0;x--)


for(y=20;y>0;y--);


}


voidtime_init()


{


ET1=1;//允許定時器T1中斷


ET0=1;//允許定時器T0中斷


TMOD=0x15;//定時器0計數,模式1;定時器1定時,模式1


TH1=(65536-100)/256;//定時器1值,負責PID中斷,0.1ms定時


TL1=(65536-100)%6;


TR0=1;//開定時器


TR1=1;


IP=0X08;//定時器1為高優級


EA=1;//開總中斷


}


voidkeyscan()


{


floatj;


if(s1_jiasu==0)//加速


{


delay(20);


if(s1_jiasu==0)


vi_Ref+=10;


j=vi_Ref;


}


while(s1_jiasu==0);


if(s2_jiansu==0)//減速


{


delay(20);


if(s2_jiansu==0)


vi_Ref-=10;


j=vi_Ref;


}


while(s2_jiansu==0);


if(s3_jiting==0)


{


delay(20);


motor=0;


P1=0X00;


P3=0X00;


P0=0x00;


}


while(s3_jiting==0);


}


floatv_PIDCalc(floatvi_Ref,floatvi_SpeedBack)


{


registerfloaterror1,d_error,dd_error;


error1=vi_Ref-vi_SpeedBack;//偏差的計算


d_error=error1-vi_PreError;//誤差的偏差


dd_error=d_error-vi_PreDerror;//誤差變化率


vi_PreError=error1;//存儲當前偏差


vi_PreDerror=d_error;


bianhuasu=(v_kp*d_error+v_ki*vi_PreError+v_kd*dd_error);


return(bianhuasu);


}


voidv_Display()


{


uintsu;


su=(int)(reallyspeed*10);//乘以10之後強制轉化成整型


speed[3]=su/1000;//百位


speed[2]=(su00)/100;//十位


speed[1]=(su0)/10;//個位


speed[0]=su;//小數點後一位


wei1=0;//第一位打開


P0=table[speed[3]];


delay(5);


wei1=1;//第一位關閉


wei2=0;


P0=table[speed[2]];


delay(5);


wei2=1;


wei3=0;


P0=table[speed[1]];


xiaoshudian=1;


delay(5);


wei3=1;


wei4=0;


P0=table[speed[0]];


delay(5);


wei4=1;


}


voidBEEP()


{


if((reallyspeed)>=vi_Ref+5||(reallyspeed


{


beep=~beep;


delay(4);


}


}


voidmain()


{


time_init();


motor=0;


while(1)


{


v_Display();


BEEP();


}


if(s3_jiting==0)//對按鍵3進行掃描,增強急停效果


{


delay(20);


motor=0;


P1=0X00;


P3=0X00;


P0=0x00;


}


while(s3_jiting==0);


}


voidtimer0()interrupt1


{


}


voidtimer1()interrupt3


{


TH1=(65536-100)/256;//1ms定時


TL1=(65536-100)%6;


sample_time++;


if(sample_time==5000)//采樣時間0.1ms*5000=0.5s


{


TR0=0;//關閉定時器0


sample_time=0;


pulse_count=TH0*255+TL0;//保存當前脈沖數


keyscan();//掃描按鍵


reallyspeed=pulse_count/(4*0.6);//計算速度


pwm=pwm+v_PIDCalc(vi_Ref,reallyspeed);


if(pwm


if(pwm>100)pwm=100;


TH0=TL0=0;


TR0=1;//開啟定時器0


}


num++;


if(num==pwm)//此處的num值,就是占空比


{


motor=0;


}


if(num==100)//100相當於占空比調節的精度


{


num=0;


motor=1;


}


}



③ 求幫忙設計直流電機轉速與控制系統

基於2407單片機的直流電機PWM調速系統

學 生: 張 洋
專 業: 電氣工程及其自動化
班 級: 09020702
指導教師: 周素盈

二.系統總體方案論證
2.1系統方案比較與選擇
方案一:採用專用PWM集成晶元、IR2110 功率驅動晶元構成整個系統的核心,現在市場上已經有很多種型號,如Tl公司的TL494晶元,東芝公司的ZSK313I晶元等。這些晶元除了有PWM信號發生功能外,還有「死區」調節功能、過流過壓保護功能等。這種專用PWM集成晶元可以減輕單片機的負擔,工作更可靠,但其價格相對較高,難於控制工業成本不宜採用。
方案二:採用24071單片機、功率集成電路晶元L298構成直流調速裝置。L298是雙H高電壓大電流功率集成電路,直接採用TTL邏輯電平控制,可用來驅動繼電器、線圈、直流電動機、步進電動機等電感性負載。其驅動電壓為46V,直流電流總和為4A。該方案總體上是具有可行性,但是L298的驅動電壓和電流較小,不利於工業生產應用,無法滿足工業生產實踐中大電壓、大電流的直流電機調速。
方案三:採用2407單片機、IR2110功率驅動晶元構成整個系統的核心實現對直流電機的調速。2407具有兩個定時器T1和T2。通過控制定時器初值T1和T2,從而可以實現從任意埠輸出不同占空比的脈沖波形。2407控制簡單,價格廉價,且利用2407構成單片機最小應用系統,可縮小系統體積,提高系統可靠性,降低系統成本。IR2110是專門的MOSFET管和IGBT的驅動晶元,帶有自舉電路和隔離作用,有利於和單片機聯機工作,且IGBT的工作電流可達50A,電壓可達1200V,適合工業生產應用。
綜合上述三種方案,本設計採用方案三作為整個系統的設計思路。
2.2系統方案描述
本系統採用2407為控制核心,利用2407產生的PWM經過邏輯延遲電路後載入到以IR2110為驅動核心,IGBT構成的H橋主幹電路上實現對直流電機的控制和調速。本系統的控制部分為5V的弱電而驅動電路和負載電路為110V以上的直流電壓因此在強弱電之間、數據採集之間分別利用了帶有驅動功能的光耦TLP250和線性光耦PC817實現強弱電隔離,信號串擾。具體電路框圖如下圖2-1

圖2-1系統整體框圖
2.3 轉速負反饋單閉環直流調速系統原理
2.3.1原理框圖
該系統原理框圖如圖2-3所示,轉速反饋控制環的調節是利用單片機軟體實現的PI調節。圖中虛線部分是採用單片機實現的控制功能。
2.3.2 單閉環直流調速系統的組成

圖2-3 數字式轉速負反饋單閉環直流調速系統
只通過改變觸發或驅動電路的控制電壓來改變功率變換電路的輸出平均電壓,達到調節電動機轉速的目的,稱為開環調速系統。但開環直流調速系統具有局限性:
(1)、通過控制可調直流電源的輸入信號,可以連續調節直流電動機的電樞電壓,實現直流電動機的平滑無極調速,但是,在啟動或大范圍階躍升速時,電樞電流可能遠遠超過電機額定電流,可能會損壞電動機,也會使直流可調電源因過流而燒毀。因此必須設法限制電樞動態電流的幅值。
(2)、開環系統的額定速降一般都比較大,使得開環系統的調速范圍D都很小,對於大部分需要調速的生產機械都無法滿足要求。因此必須採用閉環反饋控制的方法減小額定動態速降,以增大調速范圍。
(3)、開環系統對於負載擾動是有靜差的。必須採用閉環反饋控制消除擾動靜差,為克服其缺點,提高系統的控制質量,必須採用帶有負反饋的閉環系統,方框圖如圖2-2所示。在閉環系統中,把系統輸出量通過檢測裝置(感測器)引向系統的輸入端,與系統的輸入量進行比較,從而得到反饋量與輸入量之間的偏差信號。利用此偏差信號通過控制器(調節器)產生控製作用,自動糾正偏差。因此,帶輸出量負反饋的閉環控制系統能提高系統抗擾性,改善控制精度的性能,廣泛用於各類自動調節系統中。

圖2-2 閉環系統方框圖
對於調速系統來說,輸出量是轉速,通常引入轉速負反饋構成閉環調速系統。在電動機軸上安裝測速裝置,引出與輸出量——轉速成正比的負反饋電壓,與轉速給定電壓進行比較,得到偏差電壓,經過放大器A,產生驅動或觸發裝置的控制電壓,去控制電動機的轉速,這就組成了反饋控制的閉環調速系統,如圖2-4所示。

圖2-4 轉速負反饋單閉環直流調速系統靜態框圖
2.3.3速度負反饋單閉環系統的靜特性
由圖2-3,按照梅森公式可以直接寫出轉速給定電壓Un*和負載擾動電流IL與轉速n的關系式如下: 式2-2
其中,閉環系統的開環放大系數為: 式2-3
開環系統的負載速降為: 式2-4
由式2-2閉環時的負載速降為: 式2-5
上式表明採用速度閉環控制後,其負載速降減小了(1+Kol)倍,使得閉環系統的機械特性比開環時硬得多;因而,閉環系統的靜差率要小得多,可以大大增加閉環系統的調速范圍。
2.4 採用PI調節器的單閉環無靜差調速系統
在電動機的閉環調速中,速度調節器一般採用PI調節器,即比例積分調節器。常規的模擬PI控制系統原理框圖見圖2-5,該系統由模擬PI調節器和被控對象組成。
r(t)是給定值,y(t)是系統的實際輸出值,給定值與實際輸出值構成控制偏差e(t)。
………………………………………………(2-6)
e(t)作為PI調節器的輸入,u(t)作為PI調節器的輸出和被控制對象的輸入。所以模擬PI控制器的規律為:
…………………………………(2-7)
式中Kp--比例系數,TI--積分常數。
比例調節的作用是對偏差瞬間做出快速反應。偏差一旦產生,控制器立即產生控製作用,使控制量向減少偏差的方向變化。控製作用的強弱取決於比例系數,比例系數越大,控制越強,但過大會導致系統振盪,破壞系統的穩定性。
積分調節的作用是消除靜態誤差。但它也會降低系統響應速度,增加系統的超調量。

圖2-5模擬PI控制系統原理圖
採用DSP對電動機進行控制時,使用的是數字PI調節器,而不是模擬PI調節器,也就是說用程序取代PI模擬電路,用軟體取代硬體。將式3-6離散化處理就可以得到數字PI調節器的演算法

……………………………(2-8)
或 ……………………………(2-9)
式中k--采樣序號,k=0,1,2,…;uk--第k次采樣時刻的輸出值;
ek--第k次采樣時刻輸入的偏差值; KI--積分系數,;
u0--開始進行PI控制是的原始初值。
用式(3-8)計算PI調節器的輸出比較繁雜,可將其進一步變化,令第k次采樣時刻的輸出值增量為:
………………………………(2-10)
所以 ……………………………………(2-11)
或 …………………………………………(2-12)
式中--第k-1次采樣時刻的輸出值,--第k-1次采樣時刻的偏差值,
--,--。
用式(2-11)或式(2-12)就可以通過有限次的乘法和加法快速地計算出PI調節器的輸出。
以下是用式(2-12)計算的程序代碼:
LT EK ;
MPY K2 ;K2是Q12格式,
LACC GIVE ;給定值
SUB MEASURE ;減反饋值
SACL EK ;保存偏差值
LACC UK,12 ;
LTA EK ;,Q12格式,
MPY K1 ;k1是Q12格式,
AP AC ;,Q12格式
SACH UK,4 ;保存
以上程序代碼只用10條指令。如果用40MIPS,只需250ns時間,足可以用於實時控制。
三.硬體電路的模塊設計
3.1 H橋電機驅動電路
圖3-1所示的H橋式電機驅動電路包括4個三極體和一個電機,電路得名於「H橋驅動電路」是因為它的形狀酷似字母H。如圖3-1所示,要使電機運轉,必須導通對角線上的一對三極體。根據不同三極體對的導通情況,電流可能會從左至右或從右至左流過電機,從而控制電機的轉向。

圖3-1H橋驅動電路
要使電機運轉,必須使對角線上的一對三極體導通。例如,如圖3-2所示,當Q1管和Q4管導通時,電流就從電源正極經Q1從左至右穿過電機,然後再經 Q4回到電源負極。按圖中電流箭頭所示,該流向的電流將驅動電機順時針轉動。當三極體Q1和Q4導通時,電流將從左至右流過電機,從而驅動電機按特定方向 轉動(電機周圍的箭頭指示為順時針方向)。

圖3-2 H橋驅動電路
圖2-3所示為另一對三極體Q2和Q3導通的情況,電流將從右至左流過電機。當三極體Q2和Q3導通時,電流將從右至左流過電機,從而驅動電機沿另一方向轉動(電機周圍的箭頭表示為逆時針方向)。

圖3-3 H橋驅動電路
3.2放大電路的連接電路
IR2111外圍電路如圖所示。單片機輸出的PWM信號經光耦PC817後,輸出至IR2111輸入端,此處的光耦對PWM信號起到隔離、電平轉換和功率放大的作用。圖中,、為光耦上拉電阻,其值根據所用光耦的輸入和輸出地電流參數決定:為電容濾波電容,為自舉二極體,、為柵極驅動電阻。

3.3鍵盤輸入電路
本系統採用鍵盤,如圖3.5所示。

圖3.5為按鈕電路
3.3測速電路設計
一個完善的閉環系統,其定位精度和測量精度主要由測量元件決定,因此,高精度的測量轉速對測量元件的質量要求相當高。光電編碼器是現代系統中必不可少的一種數字式速度測量元件,被廣泛應用於微處理器控制的閉環控制系統中。
3.3.1光柵盤
光柵盤是在圓盤邊刻有很多光柵。當光源照射到光柵部分時,沒有被光柵擋住的光源就透射過去。本系統中採用了一個圓面上刻有60個均勻光柵格的光柵盤。當電機旋轉一周時,會產生60個光脈沖信號。
3.3.2 光電感測器
光電感測器原理是有一個發光二極體和一個由光信號控制放大的三極體組成。由發光二極體發出紅外光線通過3mm寬的氣隙透射到另一端的三極體上,使得該三極體導通。其特徵如下:
氣隙是3mm。
解析度達到0.5mm。
大電流傳輸比。
暗電流為:0.25
在=10mA時,發光二極體產生的光線的波長為940nm。
安裝時將光柵盤圓面鉗到溝槽中,光電感測器的發光二極體發出的紅外線通過3mm氣隙照射到光柵盤,光通過光柵盤面上透光的光柵氣隙可以使得光感測器的三極體導通,從C極會輸出一個低電平,被光柵擋住的光不能透過去,使得光電感測器的C極會輸出一個高電平。

3.6光電感測器原理圖
光電感測器在硬體電路設計上很簡單, 如圖3.7。在光電感測器的1引腳上接一個限流電阻R,限制流過發光二極體的電流=10mA左右。計算公式如下:

其中,

3.7光電感測器設計圖
3.4 穩壓電源電路
電池放電時內阻穩定的增大,電壓則穩定的減小, 而且接上大功率的負載時電壓會瞬時降低, 不能用於提供固定的電壓,對於各種IC晶元需要的穩定電壓, 需要專門的穩壓器件,或者穩壓電路, 基本的穩壓器有兩種:線性(LDO)和開關(DCDC), 其中前者只能降壓使用,而前者還可以升壓使用而且效率很高。
控制晶元89C51的標准供電電壓是5V,可以選擇使用線性電壓調整晶元穩壓,如:
7805:最大輸出電流1.5A,內部過熱保護,內部短路電流限制,典型輸入電壓7~20V, 輸出電壓4.9~5.1V,靜態電流典型值4.2mA,壓差(輸出與輸入的差)至少2V。
78L05(電流較小):最大輸出電流100mA,內部過熱保護,典型輸入電壓7~20V, 輸出電壓4.75~5.25V,靜態電流典型值3mA。
LM317(電壓可調):輸出電流可達1.5A,輸出電壓1.2V~37V,內部過熱保護等。
選用7805,一方面簡單;另一方面比較常用且比較便宜。
LM78系列是美國國家半導體公司的固定輸出三端正穩壓器集成電路。我國和世界各大集成電路生產商均有同類產品可供選用,是使用極為廣泛的一類串聯集成穩壓器。內置過熱保護電路,無需外部器件,輸出晶體管安全范圍保護,內置短路電流限制電路。對於濾波電容的選擇,需要注意整流管的壓降。
穩壓電源由電源變壓器、整流電路、濾波電路和穩壓電路組成,
a.整流和濾波電路:整流作用是將交流電壓變換成脈動電壓。濾波電路一般由電容組成,其作用是脈動電壓中的大部分紋波加以濾除,以得到較平滑的直流電壓。
b.穩壓電路:由於得到的輸出電壓受負載、輸入電壓和溫度的影響不穩定,為了得到更為穩定電壓添加了穩壓電路,從而得到穩定的電壓。

3.8光電感測器設計圖
三端集成穩壓器LM7805正常工作時,輸入、輸出電壓差2~3V。C1為輸入穩定電容,其作用是減小紋波、消振、抑制高頻和脈沖干擾,C1一般為0.1~0.47μf。C2為輸出穩定電容,其作用是改善負載的瞬態響應,C2一般為1μF。使用三端穩壓器時注意一定要加散熱器,否則是不能工作到額定電流。二極體IN4007用來卸掉C2上的儲存電能,防止反向擊穿LM7805。查相關資料該晶元的最大承受電流為0.1A,因此輸入端必須界限流電阻R1,R1=(12*0.9-5)/0.1=58Ω,取近似值,選用70Ω的電阻。
3.5.顯示電路
液晶顯示模塊(LCD)由於其具有功耗低、無電磁輻射、壽命長、價格低、介面方便等一系列顯著優點,被廣泛應用與各種儀表儀器、測量顯示裝置、計算機顯示終端等方面。其中,字元液晶顯示模塊是一類專用於顯示字母、數字、符號的點陣式液晶顯示模塊。TS1620字元液晶顯示模塊以ST7066和ST7065為控制器,其介面信號功能和操作指令與HD44780控制器具有兼容性。字元液晶有81、162、202、402等20多種規格型號齊全的字元液晶顯示模塊,均具有相同的引線功能和編程指令,與單片機的介面具有通用性。下圖為外觀機構。

TS1620的引腳與功能表下圖所示。
引腳好 引腳符號 名稱 功

1 GND 電源地 接5V電
源地端
2 VDD 電源正端 接5V電
源正端
3 VEE 液晶驅動電壓端 電壓可調,一端接地,
一端接可調電阻
4 RS 寄存器選擇段 RS=1為數據寄存器,
RS=0為指令寄存器
5 RW 讀/寫選擇端 RW=1為讀數據,
RW=0為寫數據
6 EN 讀/寫使能端 寫時,下降沿觸發;
讀時,高電平有效
7至14 DB0—DB7 8位數據線 數據
匯流排
TS1620模塊與單片機的介面簡單,PIC18F單片機的連接圖如總圖所示。PIC18F458的RD0-RD7埠直接與TS1620-1的DB0-DB7相連接,TS1620-1的控制信號RS、RW、EN分別與PIC18F458的RE0-RD2相連接。
3.6時鍾電路
單片機各功能部件的運行都是以時鍾控制信號為基準,有條不紊地一拍一拍地工作,因此時鍾頻率直接影響單片機的速度,時鍾電路的質量也直接影響單片機系統的穩定性。電路中的電容C1和C2典型值通常選擇為30pF左右。對外接電容的值雖然沒有嚴格的要求,但電容的大小會影響振盪器的頻率高低,振盪器的穩定性和起振的快速性,晶振的頻率越高則系統的時鍾頻率也越高,單片機的運行速度也越快。

圖3.9時鍾電路
本設計採用頻率為12MHZ,微調電容C1和C2為30pF的內部時鍾方式,電容為瓷片電容。判斷單片機晶元及時鍾系統是否正常工作有一個簡單的方法,就是用萬用表測量單片機晶振引腳(18,19腳)的對地電壓,以正常工作的單片機用數字萬用表測量為例:18腳對地電壓約為2.24V,19腳對地電壓約為2.09V。
4.3 復位電路
復位是單片機的初始化操作,其主要作用是把PC初始化為0000H,使單片機從0000H單元開始執行程序。除了進入系統的正常初始化之外,當由於程序運行出錯或操作失誤使系統處於死鎖狀態時,為擺脫困境,也需要按復位鍵以重新啟動。

圖3.10 復位電路
單片機的復位電路在剛接通電時,剛開始電容是沒有電的,電容內的電阻很低,通電後,5V的電通過電阻給電解電容進行充電,電容兩端的電會由0V慢慢的升到4V左右(此時間很短一般小於0.3秒),正因為這樣,復位腳的電由低電位升到高電位,引起了內部電路的復位工作,這是單片機的上電復位,也叫初始化復位。當按下復位鍵時,電容兩端放電,電容又回到0V了,於是又進行了一次復位工作,這是手動復位原理。 該電路採用按鍵手動復位。按鍵手動復位為電平方式。對於懷疑是復位電路故障而不能正常工作的單片機也可以採用模擬復位的方法來判斷,單片機正常工作時第9腳對地電壓為零,可以用導線短時間和+5V連接一下,模擬一下上電復位,如果單片機能正常工作了,說明這個復位電路有問題,其中電平復位是通過RET端經電阻與電源VCC接通而實現的,當時鍾頻率適用於12MHZ時,C取100uF,R取10K,為保證可靠復位,在初識化程序中應安排一定的延遲時間。
軟體電路的模塊設計
直流電機轉速控制器的軟體設計和系統功能的開發和完善是一個循序漸進過程,本文所作的軟體開發是基於直流電機多速控制器的基本功能要求設計的該系統軟體有主程序、功能鍵處理程序、電機運行顯示程序、鍵盤設置參數程序測速程序、延時子程序等。
該系統的整個軟體設計全部採用模塊化程序設計思想,由系統初始化模塊、案件識別模塊、LCD模塊、高優先順序和低優先順序中斷服務程序四大模塊組成。整個軟體的主程序框圖如圖4-1。

圖4-1整個軟體的主程序框圖
通過控制總中斷使能PDPINTA控制電機的開關,其中定時器T1,T2分別對脈沖的寬度、光電感測器輸出的脈沖數對應的1秒時間定時。對脈沖寬度的調整是通過改變高電平的定時長度,由變數high控制。變數change、 sub_speed 、add_speed分別實現電機的轉向、加速、減速。
4.1系統初始化模塊
/***************************主函數*********************************/
void main()
{
P2 = 0x00;
TMOD = 0x11;
TH1 = 0xec; //定時器T1設置參數
TL1 = 0x78;
TH2 = 0x3c; //定時器T2設置參數
TL2 = 0xb0;
TR1 = 1;
TR2 = 1;
init(); //液晶顯示初始化程序
while(1)
{
Wc2407r(0x84);
wc2407ddr('H');
wc2407ddr('e');
wc2407ddr('l');
wc2407ddr('l');
wc2407ddr('o');
if(test == 0)
num_medium++;
datamade();
motor_control();
}
}
4.2 電機運行控制模塊
電機運行控制模塊包括電機的方向控制和電機的速度控制,他們由Open,close,addspeed,subspeed,swap變來控制2407單片機的EVA模塊產生不同的PWM信號送到L298 電機驅動器。
/***********通過按鍵實現對電機開關、調速、轉向的控制的程序*****************/
void motor_control()
{
if(open == 1)
PDPINTA = 1;
if(close == 1)
PDPINTA = 0;
if(swap == 1)
{
change = ~change;
while(swap != 0)
{}
}

if(sub_speed == 1)
{ high++;
if(high == 30)
PDPINTA=0;
while(sub_speed != 0)
{}
}

if(add_speed == 1)
{ high--;
if(high == 5)
high = 5;
while(add_speed != 0)
{}
}
}
4.3 測速軟體設計
常用的光柵測速方法有三種:測頻法(M法)、測周法(T法)和測頻測周法(M/T
法)
M法測速是測定在一定時間內,脈沖的個數,從而轉換為速度。
本系統採用M法則測速。設置2407單片機內的定時器/計數器TIME1於計數器模式;在20個時鍾周期內定時期間TIME1對輸入的脈沖進行計數,在中斷過程中對計入的脈沖數進行處理,獲得轉速數據。

/****T2中斷服務程序********單位時間(S)方波的個數*************/
void time2_int(void) interrupt 3
{
count_speed++;
if(count_speed == 20)
{ count_speed = 0;
num_display = num_medium;
num_medium = 0;
}
}
/************************速度顯示的數據處理*********************/
void datamade()
{
uint data MM
Wc2407r(0xc2);
wc2407ddr('S');
wc2407ddr('p');
wc2407ddr('e');
wc2407ddr('e');
wc2407ddr('d');
wc2407ddr(0x3a);
MM = num_display/100;
wc2407ddr(wword[MM]);
}
4.4LCD顯示模塊
LCD顯示驅動單獨做成一個源程序文件和頭文件,可以方便以後其他模塊或其他應用程序的調用。在LCD顯示驅動模塊中主要是LCD初始化函數LCD_Initize()、寫LCD命令函數Write_LCD_Command()、寫LCD數據函數Write_LCD_Data().
TS1620可以顯示兩行16列ASCII碼,其對應的第一行的首行地址是80H;第二行的首地址是C0H,送字元串到LCD上顯示,需要定位將字元串顯示在第X行和第Y列上,顯示的字元串不能超過該行的最大列。
#include <reg2407.h>
#define uchar unsigned char
#define uint unsigned int
sbit open = P2^0;
sbit close = P2^1;
sbit swap = P2^2;
sbit sub_speed = P2^3;
sbit add_speed = P2^4;
sbit PWM1 = P3^0;
sbit PWM2 = P3^1;
/************************液晶顯示*************/
sbit E=P3^7;
sbit RW = P3^6;
sbit RS = P3^5;
sbit test = P3^4;
int time = 0;
int high = 20;
int period = 30;
int change = 0;
int flag = 0;
int num_medium = 0;
int num_display = 0;
int count_speed = 0;
uchar wword[]={0x30,0x31,0x32,0x33,0x34,0x35,0x36,0x37,0x38,0x39};
/*******************延時t毫秒****************/
void delay(uchar t)
{
uint i;
while(t)
{
/*對於11.0592MHz時鍾,延時1ms*/
for(i=0;i<125;i++);
t--;
}
}

//寫命令函數LCD
void Write_LCD_Command(){
RS=0;
RW=0;
P1=j;
E=1;
E=0;
delay(3);
}

//寫數據函數LCD
void Write_LCD_Data()
{

RS=1;
RW=0;
P1=j;
E=1;
E=0;
delay(2);
}
//初始化函數LCD
void LCD_Initize(){
wc2407r(0x01); //清屏
wc2407r(0x38); //使用8位數據,顯示兩行,使用5*7的字型
wc2407r(0x0c); //顯示器件,游標開,字元不閃爍
wc2407r(0x06); //字元不動,游標自動右移一格
}
4.4 PWM 源程序
/***********8T1中斷服務程序************PWM波的生成**********/
void time1_int(void) interrupt 1
{
time++;
TH1 = 0xec;
TL1 = 0x78;
if(change == 0)
{
PWM2 = 1;
if(time == high)
PWM1=0;
else if(time == period)
{
PWM1 = 1;
time = 0;
}
}
else
{ PWM1 = 1;
if(time == high)
PWM2=0;
else if(time == period)
{
PWM2 = 1;
time = 0;
}
}
}
4.5 PID調速程序流程如圖

五.系統抗干擾
電子電路的抗干擾技術在電路設計中佔有重要的地位,對系統是否正常工作有著決定作用。
本文電路既包括模擬電路也包括數字電路,而數字電路運行時輸入和輸出信號均只有兩種狀態,即高電平和低電平,且這兩種電平的翻轉速度很快,同時,由於數字電路基本上以導通或截至方式運行,工作速率比較高,故會對電路產生高頻浪涌電流,可能會導致電路工作不正常;而數字電路的輸入輸出波形邊沿很陡,含有極豐富的頻率分量,這對模擬電路來說,無疑是一個高頻干擾源。為了消除以上可能出現的干擾,本系統在設計和調試過程中反復嘗試比較,最終採取如下措施,消除了系統干擾。
(l)合理布置電源濾波、退藕電容。
(2)將數字電路與模擬電路分開。
(3)合理設計地線。
(4)盡量加粗接地線和電源線。
六.設計總結
經過2個星期的課程設計,留給我印象最深的是要設計一個成功的電路,必須要有要有扎實的理論基礎,還要有堅持不懈的精神。
本產品實現了對直流電機的調速和測速,個人感覺其中還有許多不夠完善的地方,例如:對電機的控制採用的是獨立按鍵,而非矩陣鍵盤;電機的驅動電路的設計也不是很成熟。
此次的設計並不奢望一定能成功,但一定要對已學的各種電子知識能有一定的運用能力,我做設計的目的是希望能檢查下對所學知識的運用能力的好壞,並且開始慢慢走上創造的道路,這是非常可貴的一點。

④ 如何用單片機AT89S52控制直流電機最好有實際電路圖和程序

對基於MCS-51系列單片機實現直流電機調速系統進行研究和設計,能夠在不同按鈕的作用下分別實現電機的啟動、停止、正轉、反轉、加速、減速控制;能夠實現對直流電機的PWM的調速設計。增大占空比,增加轉速,減小占空比,減小轉速。

通過按鍵的輸入,對控制器發出指令,由此來輸出電機的啟停、正反轉、以及加減速和LED的顯示。

⑤ 單片機直流電機調速系統設計

論文題目:直流電動機調速器硬體設計
專業:自動化
本科生:劉小煜 (簽名)____
指導教師:胡曉東 (簽名)____

直流電動機調速器硬體設計
摘 要

直流電動機廣泛應用於各種場合,為使機械設備以合理速度進行工作則需要對直流電機進行調速。該實驗中搭建了基於C8051F020單片機的轉速單閉環調速系統,利用PWM信號改變電動機電樞電壓,並由軟體完成轉速單閉環PI控制,旨在實現直流電動機的平滑調速,並對PI控制原理及其參數的確定進行更深的理解。實驗結果顯示,控制8位PWM信號輸出可平滑改變電動機電樞電壓,實現電動機升速、降速及反轉等功能。實驗中使用霍爾元件進行電動機轉速的檢測、反饋。期望轉速則可通過功能按鍵給定。當選擇比例參數為0.08、積分參數為0.01時,電機轉速可以在3秒左右達到穩定。由實驗結果知,該單閉環調速系統可對直流電機進行調速,達到預期效果。

關鍵字:直流電機, C8051F020,PWM,調速,數字式

Subject: Hardware Design of Speed Regulator for DC motor
Major: Automation
Name: Xiao yu Liu (Signature)____
Instructor:Xiao dong Hu (Signature) ____

Hardware Design of Speed Regulator for DC motor
Abstract

The dc motor is a widely used machine in various occasions.The speed regulaiting systerm is used to satisfy the requirement that the speed of dc motor be controlled over a range in some applications. In this experiment,the digital Close-loop control systerm is based on C8051F020 SCM.It used PI regulator and PWM to regulate the speed of dc motor. The method of speed regulating of dc motor is discussed in this paper and, make a deep understanding about PI regulator.According to experiment ,the armature voltage can be controlled linearnized with regulating the 8 bit PWM.So the dc motor can accelerate or decelerate or reverse.In experiment, hall component is used as a detector and feed back the speed .The expecting speed can be given by key-press.With using the PI regulator,the dc motor will have a stable speed in ten seconds when choose P value as 0.8 and I value as 0.01. At last,the experiment shows that the speed regulating systerm can work as expected.

Key words: dc motor,C8051F020,PWM,speed regulating,digital

目錄

第一章 緒論 1
1.1直流調速系統發展概況 1
1.2 國內外發展概況 2
1.2.1 國內發展概況 2
1.2.2 國外發展概況 3
1.2.3 總結 4
1.3 本課題研究目的及意義 4
1.4 論文主要研究內容 4
第二章 直流電動機調速器工作原理 6
2.1 直流電機調速方法及原理 6
2.2直流電機PWM(脈寬調制)調速工作原理 7
2.3 轉速負反饋單閉環直流調速系統原理 11
2.3.1 單閉環直流調速系統的組成 11
2.3.2速度負反饋單閉環系統的靜特性 12
2.3.3轉速負反饋單閉環系統的基本特徵 13
2.3.4轉速負反饋單閉環系統的局限性 14
2.4 採用PI調節器的單閉環無靜差調速系統 15
2.5 數字式轉速負反饋單閉環系統原理 17
2.5.1原理框圖 17
2.5.2 數字式PI調節器設計原理 18
第三章 直流電動機調速器硬體設計 20
3.1 系統硬體設計總體方案及框圖 20
3.1.1系統硬體設計總體方案 20
3.1.2 總體框圖 20
3.2 系統硬體設計 20
3.2.1 C8051F020單片機 20
3.2.1.1 單片機簡介 20
3.2.1.2 使用可編程定時器/計數器陣列獲得8位PWM信號 23
3.2.1.3 單片機埠配置 23
3.2.2主電路 25
3.2.3 LED顯示電路 26
3.2.4 按鍵控制電路 27
3.2.5 轉速檢測、反饋電路 28
3.2.6 12V電源電路 30
3.3硬體設計總結 31
第四章 實驗運行結果及討論 32
4.1 實驗條件及運行結果 32
4.1.1 開環系統運行結果 32
4.1.2 單閉環系統運行結果 32
4.2 結果分析及討論 32
4.3 實驗中遇到的問題及討論 33
結論 34
致謝 35
參考文獻 36
論文小結 38
附錄1 直流電動機調速器硬體設計電路圖 39
附錄2 直流電動機控制系統程序清單 42
附錄3 硬體實物圖 57

第一章 緒論
1.1直流調速系統發展概況
在現代工業中,電動機作為電能轉換的傳動裝置被廣泛應用於機械、冶金、石油化學、國防等工業部門中,隨著對生產工藝、產品質量的要求不斷提高和產量的增長,越來越多的生產機械要求能實現自動調速。
在可調速傳動系統中,按照傳動電動機的類型來分,可分為兩大類:直流調速系統和交流調速系統。交流電動機直流具有結構簡單、價格低廉、維修簡便、轉動慣量小等優點,但主要缺點為調速較為困難。相比之下,直流電動機雖然存在結構復雜、價格較高、維修麻煩等缺點,但由於具有較大的起動轉矩和良好的起、制動性能以及易於在寬范圍內實現平滑調速,因此直流調速系統至今仍是自動調速系統的主要形式。
直流調速系統的發展得力於微電子技術、電力電子技術、感測器技術、永磁材料技術、自動控制技術和微機應用技術的最新發展成就。正是這些技術的進步使直流調速系統發生翻天覆地的變化。其中電機的控制部分已經由模擬控制逐漸讓位於以單片機為主的微處理器控制,形成數字與模擬的混合控制系統和純數字控制系統,並正向全數字控制方向快速發展。電動機的驅動部分所用的功率器件亦經歷了幾次更新換代。目前開關速度更快、控制更容易的全控型功率器件MOSFET和IGBT成為主流。功率器件控制條件的變化和微電子技術的使用也使新型的電動機控制方法能夠得到實現。脈寬調制控制方法在直流調速中獲得了廣泛的應用。
1964年A.Schonung和H.stemmler首先提出把PWM技術應用到電機傳動中從此為電機傳動的推廣應用開辟了新的局面。進入70年代以來,體積小、耗電少、成本低、速度快、功能強、可靠性高的大規模集成電路微處理器已經商品化,把電機控制推上了一個嶄新的階段,以微處理器為核心的數字控制(簡稱微機數字控制)成為現代電氣傳動系統控制器的主要形式。PWM常取代數模轉換器(DAC)用於功率輸出控制,其中,直流電機的速度控制是最常見的應用。通常PWM配合橋式驅動電路實現直流電機調速,非常簡單,且調速范圍大。在直流電動機的控制中,主要使用定頻調寬法。
目前,電機調速控制模塊主要有以下三種:
(1)、採用電阻網路或數字電位器調整直流電機的分壓,從而達到調速的目的;
(2)、採用繼電器對直流電機的開或關進行控制,通過開關的切換對電機的速度進行調整;
(3)、採用由IGBT管組成的H型PWM電路。用單片機控制IGBT管使之工作在占空比可調的開關狀態,精確調整電動機轉速。
1.2 國內外發展概況
1.2.1 國內發展概況
我國從六十年代初試製成功第一隻硅晶閘管以來,晶閘管直流調速系統開始得到迅速的發展和廣泛的應用。用於中、小功率的 0.4~200KW晶閘管直流調速裝置已作為標准化、系列化通用產品批量生產。
目前,全國各大專院校、科研單位和廠家都在進行數字式直流調速系統的開發,提出了許多關於直流調速系統的控制演算法:
(1)、直流電動機及直流調速系統的參數辯識的方法。該方法據系統或環節的輸入輸出特性,應用最小二乘法,即可獲得系統環節的內部參數。所獲得的參數具有較高的精度,方法簡便易行。
(2)、直流電動機調速系統的內模控制方法。該方法依據內模控制原理,針對雙閉環直流電動機調速系統設計了一種內模控制器,取代常規的PI調節器,成功解決了轉速超調問題,能使系統獲得優良的動態和靜態性能,而且設計方法簡單,控制器容易實現。
(3)、單神經元自適應智能控制的方法。該方法針對直流傳動系統的特點,提出了單神經元自適應智能控制策略。這種單神經元自適應智能控制系統不僅具有良好的靜、動態性能,而且還具有令人滿意的魯棒性與自適應性。
(4)、模糊控制方法。該方法對模糊控制理論在小慣性系統上對其應用進行了嘗試。經1.5kw電機實驗證明,模糊控制理論可以用於直流並勵電動機的限流起動和恆速運行控制,並能獲得理想的控制曲線。
上訴的控制方法僅是直流電機調速系統應用和研究的一個側面,國內外還有許多學者對此進行了不同程度的研究。
1.2.2 國外發展概況
隨著各種微處理器的出現和發展,國外對直流電機的數字控制調速系統的研究也在不斷發展和完善,尤其80年代在這方面的研究達到空前的繁榮。大型直流電機的調速系統一般採用晶閘管整流來實現,為了提高調速系統的性能,研究工作者對晶閘管觸發脈沖的控制演算法作了大量研究,提出了內模控制演算法、I-P控制器取代PI調節器的方法、自適應和模糊PID演算法等等。
目前,國外主要的電氣公司,如瑞典ABB公司,德國西門子公司、AEG公司,日本三菱公司、東芝公司、美國GE公司等,均已開發出數字式直流調裝置,有成熟的系列化、標准化、模版化的應用產品供選用。如西門子公司生產的SIMOREG-K 6RA24 系列整流裝置為三相交流電源直接供電的全數字控制裝置,其結構緊湊,用於直流電機電樞和勵磁供電,完成調速任務。設計電流范圍為15A至1200A,並可通過並聯SITOR可控硅單元進行擴展。根據不同的應用場合,可選擇單象限或四象限運行的裝置,裝置本身帶有參數設定單元,不需要其它任何附加設備便可以完成參數設定。所有控制調節監控及附加功能都由微處理器來實現,可選擇給定值和反饋值為數字量或模擬量。
1.2.3 總結
隨著生產技術的發展,對直流電氣傳動在起制動、正反轉以及調速精度、調速范圍、靜態特性、動態響應等方面都提出了更高的要求,這就要求大量使用直流調速系統。因此人們對直流調速系統的研究將會更深一步。
1.3 本課題研究目的及意義
直流電動機是最早出現的電動機,也是最早實現調速的電動機。長期以來,直流電動機一直占據著調速控制的統治地位。由於它具有良好的線性調速特性,簡單的控制性能,高效率,優異的動態特性,現在仍是大多數調速控制電動機的最優選擇。因此研究直流電機的速度控制,有著非常重要的意義。
隨著單片機的發展,數字化直流PWM調速系統在工業上得到了廣泛的應用,控制方法也日益成熟。它對單片機的要求是:具有足夠快的速度;有PWM口,用於自動產生PWM波;有捕捉功能,用於測頻;有A/D轉換器、用來對電動機的輸出轉速、輸出電壓和電流的模擬量進行模/數轉換;有各種同步串列介面、足夠的內部ROM和RAM,以減小控制系統的無力尺寸;有看門狗、電源管理功能等。因此該實驗中選用Cygnal公司的單片機C8051F020。
通過設計基於C8051F020單片機的直流PWM調速系統並調試得出結論,在掌握C8051F020的同時進一步加深對直流電動機調速方法、PI控制器的理解,對運動控制的相關知識進行鞏固。
1.4 論文主要研究內容
本課題的研究對象為直流電動機,對其轉速進行控制。基本思想是利用C8051F020自帶的PWM口,通過調整PWM的占空比,控制電機的電樞電壓,進而控制轉速。
系統硬體設計為:以C8051F020為核心,由轉速環、顯示、按鍵控制等電路組成。
具體內容如下:
(1)、介紹直流電動機工作原理及PWM調速方法。
(2)、完成以C8051F020為控制核心的直流電機數字控制系統硬體設計。
(3)、以該系統的特點為基礎進行分析,使用PWM控制電機調速,並由實驗得到合適的PI控制及相關參數。
(4)、對該數字式直流電動機調速系統的性能做出總結。

第二章 直流電動機調速器工作原理
2.1 直流電機調速方法及原理
直流電動機的轉速和各參量的關系可用下式表示:

由上式可以看出,要想改變直流電機的轉速,即調速,可有三種不同的方式:調節電樞供電電壓U,改變電樞迴路電阻R,調節勵磁磁通Φ。
3種調速方式的比較表2-1所示.
表2-1 3種電動機調速方式對比
調速方式和方法 控制裝置 調速范圍 轉速變化率 平滑性 動態性能 恆轉矩或恆功 率 效率
改變電樞電阻 串電樞電阻 變阻器或接觸器、電阻器 2:1 低速時大 用變阻器較好
用接觸器、電阻器較差 無自動調節能力 恆轉矩 低
改變電樞電壓 電動機-發電機組 發電機組或電機擴大機(磁放大器) 10:1~20:1 小 好 較好 恆轉矩 60%~70%
靜止變流器 晶閘管變流器 50:1~100:1 小 好 好 恆轉矩 80%~90%
直流脈沖調寬 晶體管或晶閘管直流開關電路 50:1~100:1 小 好 好 恆轉矩 80%~90%
改變磁通 串聯電阻或可變直流電源 直流電源變阻器 3:1

5:1 較大 差 差 恆功率 80%~90%
電機擴大機或磁放大器 好 較好
晶閘管變流器 好

由表2-1知,對於要求在一定范圍內無級平滑調速的系統來說,以調節電樞供電電壓的方式為最佳,而變電樞電壓調速方法亦是應用最廣的調速方法。
2.2直流電機PWM(脈寬調制)調速工作原理
在直流調速系統中,開關放大器提供驅動電機所需要的電壓和電流,通過改變加在電動機上的電壓的平均值來控制電機的運轉。在開關放大器中,常採用晶體管作為開關器件,晶體管如同開關一樣,總是處在接通和斷開的狀態。在晶體管處在接通時,其上的壓降可以略去;當晶體管處在斷開時,其上的壓降很大,但是電流為零,所以不論晶體管導通還是關斷,輸出晶體管中的功耗都是很小的。一種比較簡單的開關放大器是按照一個固定的頻率去接通和斷開放大器,並根據需要改變一個周期內「接通」和「斷開」的相位寬窄,這樣的放大器被稱為脈沖調制放大器。
PWM脈沖寬度調制技術就是通過對一系列脈沖的寬度進行調制,來等效地獲得獲得所需要波形(含形狀和幅值)的技術。
根據PWM控制技術的特點,到目前為止主要有八類方法:相電壓控制PWM、線電壓控制PWM、電流控制PWM、非線性控制PWM,諧振軟開關PWM、矢量控制PWM、直接轉矩控制PWM、空間電壓矢量控制PWM。
利用開關管對直流電動機進行PWM調速控制原理圖及輸入輸出電壓波形如圖2-1、圖2-2所示。當開關管MOSFET的柵極輸入高電平時,開關管導通,直流電動機電樞繞組兩端由電壓。秒後,柵極輸入變為低電平,開關管截止,電動機電樞兩端電壓為0。秒後,柵極輸入重新變為高電平,開關管的動作重復前面的過程。這樣,對應著輸入的電平高低,直流電動機電樞繞組兩端的電壓波形如圖2-2所示。電動機的電樞繞組兩端的電壓平均值為:

式2-1

式中 ——占空比,
占空比表示了在一個周期里,開關管導通的時間與周期的比值。的變化范圍為0≤≤1。由式2-1可知,當電源電壓不變的情況下,電樞的端電壓的平均值取決於占空比的大小,改變值就可以改變端電壓的平均值,從而達到調速的目的,這就是PWM調速原理。
在PWM調速時,占空比是一個重要參數。以下是三種可改變占空比的方法:
(1)、定寬調頻法:保持不變,改變,從而改變周期(或頻率)。
(2)、調寬調頻法:保持不變,改變,從而改變周期(或頻率)。
(3)、定頻調寬法:保持周期(或頻率)不變,同時改變、。
前2種方法由於在調速時改變了控制脈沖的周期(或頻率),當控制脈沖的頻率與系統的固有頻率接近時,將會引起振盪,因此應用較少。目前,在直流電動機的控制中,主要使用第3種方法。

圖2-1 PWM調速控制原理

圖2-2 輸入輸出電壓波形
產生PWM控制信號的方法有4種,分別為:
(1)、分立電子元件組成的PWM信號發生器
這種方法是用分立的邏輯電子元件組成PWM信號電路。它是最早期的方式,現在已經被淘汰了。
(2)、軟體模擬法
利用單片機的一個I/O引腳,通過軟體對該引腳不斷地輸出高低電平來實現PWM信號輸出。這種方法要佔用CPU大量時間,需要很高的單片機性能,易於實現,目前也逐漸被淘汰。
(3)、專用PWM集成電路
從PWM控制技術出現之日起,就有晶元製造商生產專用的PWM集成電路晶元,現在市場上已有許多種。這些晶元除了由PWM信號發生功能外,還有「死區」調節功能、保護功能等。在單片機控制直流電動機系統中,使用專用PWM集成電路可以減輕單片機負擔,工作也更可靠。
(4)、單片機PWM口
新一代的單片機增加了許多功能,其中包括PWM功能。單片機通過初始化設置,使其能自動地發出PWM脈沖波,只能在改變占空比時CPU才進行干預。
其中常用後兩中方法獲得PWM信號。實驗中使用方法(4)獲得PWM信號。
2.3 轉速負反饋單閉環直流調速系統原理
2.3.1 單閉環直流調速系統的組成
只通過改變觸發或驅動電路的控制電壓來改變功率變換電路的輸出平均電壓,達到調節電動機轉速的目的,稱為開環調速系統。但開環直流調速系統具有局限性:
(1)、通過控制可調直流電源的輸入信號,可以連續調節直流電動機的電樞電壓,實現直流電動機的平滑無極調速,但是,在啟動或大范圍階躍升速時,電樞電流可能遠遠超過電機額定電流,可能會損壞電動機,也會使直流可調電源因過流而燒毀。因此必須設法限制電樞動態電流的幅值。
(2)、開環系統的額定速降一般都比較大,使得開環系統的調速范圍D都很小,對於大部分需要調速的生產機械都無法滿足要求。因此必須採用閉環反饋控制的方法減小額定動態速降,以增大調速范圍。
(3)、開環系統對於負載擾動是有靜差的。必須採用閉環反饋控制消除擾動靜差
為克服其缺點,提高系統的控制質量,必須採用帶有負反饋的閉環系統,方框圖如圖2-3所示。在閉環系統中,把系統輸出量通過檢測裝置(感測器)引向系統的輸入端,與系統的輸入量進行比較,從而得到反饋量與輸入量之間的偏差信號。利用此偏差信號通過控制器(調節器)產生控製作用,自動糾正偏差。因此,帶輸出量負反饋的閉環控制系統能提高系統抗擾性,改善控制精度的性能,廣泛用於各類自動調節系統中。

⑥ 單片機控制直流電機速度

if(j<=count)
{
PWM=1;
delayus(20); //改變這個延時時間長短可以改變電機速度
}
else
{
PWM=0;
delayus(10); //同理
}
//比如延時時間一樣,那麼占空比為50%,以上占空比為67%,所以速度提高了。

⑦ 單片機中直流電機控制速度解釋一下這個原理圖,

這是pwm(脈沖寬度調制)方式工作的電機速度控制器。p521是普通的光電耦合器。
左邊3個運放電路組成一個三角波發生器,第4個運放是比較器。從DA OUT送來的模擬電壓信號,和電位器分壓的直流疊加後,送到比較器與三角波進行比較,比較器便輸出方波脈沖,脈沖的寬度的變化量與da out 電壓成正比,改變模擬輸入,就可改變脈沖寬度。這就是PWM信號。
pwm的脈沖信號經過p521光電耦合器隔離後,驅動場效應管,再驅動電機。電機接受的是脈沖電壓,由於電機的電感等有濾波作用,電機的有效電壓是脈沖電壓的平均值,改變脈沖寬度就改變了電機的電壓平均值,從而調整電機的轉速。

⑧ 51單片機控制直流電機。(c語言控制)

有3種方案:
第一種,通過PWM脈寬調制輸出方法控制轉速,控制占空比的大小可以實現調速!
第二種,通過AD轉換的方法控制直流電機的電壓
第三種,用xtr115程式控制電流源來控制直流電機(類似第二種方法)
如果以上的驅動能力不夠的話再加上一個電壓跟隨器!
程序方面就是一個寄存器的配置問題了,你查一下單片機的技術手冊上面都有介紹的,祝你成功

⑨ 如何用單片機控制直流電機

1、通過設置PWM波的占空比來控制直流電機的轉速,占空比越大,轉速越快,越小轉速越低。
2、當然單片機的I/O口是不能直接驅動電機的,所以你還需要用一個馬達驅動晶元。像LG9110、CMO825等。馬達驅動IC可以將單片機I/O輸出信號放大,這樣電機中流過的電流足夠大,電機才能轉起來。
3、你要是不清除PWM是怎麼回事呢,可以先作一些了解,再來知道有徵對性地提問就好了。

⑩ 利用單片機控制220直流電機的轉速,要求有幾個可調檔位。方案和程序是什麼

首先要選擇強電部分
1.要進行電機選型
由任務需要判斷用什麼電機
電機定了就可以確定電壓電流功率
一般直流電機啟動峰值電流很大,如果不用第三方電機調速裝置,而要自己直接用繼電器和接觸器控制的話則比較羅嗦(尤其對大功率的,保護電路最麻煩)

2.電機選好了,分兩種情況說(假設你全自己搭)

a。小功率220v直流,用全H橋,四個管子間加一個死區控制(就是雙方向導通管子之間有一個時間差,關的關了後過一會,開的再開,保護驅動系統不至於直通燒毀)
要加RCD吸收電路啊,否則立刻崩管子!!

b。大功率--這個考驗你的技術了,保護電路不做好(見上),立刻崩管子
而且RCD中的D(二極體必須加散熱片!),H橋的管子就不用說了,肯定是大散熱片地招呼

3.電機、驅動電路搞定了(上兩步)
接著是邏輯控制,電流迴路加個保險管,加個受控繼電器或者接觸器(注意!如果是繼電器還要做好驅動電路及其他保護電路)

4.弱電部分
如果只要幾個檔位,用分頻或者其他辦法生成幾個檔次的PWM波形輸出到上面驅動器就可以了
(這種電路網上一大把)
如果想要連續調節,用帶PCA或者高速定時器的單片機或者DSP就可以了(需要編程)

一句話:強電控制,保護電路最重要!!!

閱讀全文

與基於單片機的直流電機調速系統相關的資料

熱點內容
蘋果開機白屏帶文件夾問號 瀏覽:731
體驗服為什麼伺服器會關閉 瀏覽:39
酒店命令 瀏覽:750
中走絲線切割編程視頻 瀏覽:78
衣服壓縮袋手泵原理 瀏覽:714
通達信編程書籍 瀏覽:981
車用壓縮天然氣瓶閥 瀏覽:971
鞋的程序員 瀏覽:259
車的壓縮比是什麼意思 瀏覽:202
網站源碼怎麼傳到文件夾 瀏覽:914
海南壓縮機在哪裡 瀏覽:491
電腦文件夾清晰的文件結構 瀏覽:839
如何把蘋果手機的app轉到安卓 瀏覽:305
java同步並發 瀏覽:249
fw壓縮圖片 瀏覽:258
淘寶申請源碼靠譜嗎 瀏覽:874
androidupdater 瀏覽:635
c2d游戲源碼大全可復製版 瀏覽:771
電腦怎樣重置網關命令 瀏覽:411
winftplinux 瀏覽:335